For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Publication number: 20150017810
    Abstract: The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. A partially fabricated semiconductor substrate is provided in a reaction chamber. The reaction chamber is divided into an upper sub-chamber and a lower sub-chamber by a grid assembly. Plasma is generated in the upper sub-chamber, and the substrate is positioned in the lower sub-chamber. The grid assembly includes at least two grids, each of which is negatively biased, and each of which includes perforations which allow certain species to pass through. The uppermost grid is negatively biased in order to repel electrons. The lowermost grid is biased further negative (compared to the uppermost grid) in order to accelerate positive ions from the upper to the lower sub-chamber. Etching gas is supplied directly to the lower sub-chamber.
    Type: Application
    Filed: July 11, 2013
    Publication date: January 15, 2015
    Inventor: Joydeep Guha
  • Publication number: 20150011088
    Abstract: Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (Tgr) of the processing chamber for the step.
    Type: Application
    Filed: February 27, 2013
    Publication date: January 8, 2015
    Inventors: Mark Edward McNie, Michael Joseph Cooke, Leslie Michael Lea
  • Patent number: 8906194
    Abstract: A method for etching an ultra high aspect ratio feature in a dielectric layer through a carbon based mask is provided. The dielectric layer is selectively etched with respect to the carbon based mask, wherein the selective etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask. The selective etch is stopped. The fluorocarbon polymer is selectively removed with respect to the carbon based mask, so that the carbon based mask remains, using a trimming. The selectively removing the fluorocarbon polymer is stopped. The dielectric layer is again selectively etched with respect to the carbon based mask, wherein the second selectively etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Kyeong-Koo Chi, Erik A. Edelberg
  • Patent number: 8906193
    Abstract: A gas supply unit, for supplying a gas into a processing chamber in which a substrate is processed, includes a plurality of gas supply sources, a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture, a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber, and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line. The gas supply unit also includes pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kenetsu Mizusawa, Keiki Ito, Masahide Itoh
  • Patent number: 8900401
    Abstract: Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: December 2, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Eiji Ikegami, Shoji Ikuhara, Takeshi Shimada, Kenichi Kuwabara, Takao Arase, Tsuyoshi Matsumoto
  • Patent number: 8895454
    Abstract: In an etching method of a multilayer film including a first oxide film and a second oxide film, a high frequency power in etching an organic film is set to be higher than those in etching a first and second oxide films, and high frequency bias powers in the etching of the first and second oxide films are set to be higher than that in the etching of the organic film. In the etching of the first and second oxide films and the organic film, a magnetic field is generated such that horizontal magnetic field components in a radial direction with respect to a central axis line of a target object have an intensity distribution having a peak value at a position far from the central axis line, and a position of the peak value in the etching of the organic film is closer to the central axis line.
    Type: Grant
    Filed: January 20, 2014
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Himori, Etsuji Ito, Akihiro Yokota, Shu Kusano, Hiroaki Ishizuka, Kazuya Nagaseki
  • Patent number: 8893743
    Abstract: The flow rate controller controlling a flow rate of gas supplied through a gas passage includes: a main gas pipe; a flow rate detecting unit detecting the flow rate of gas supplied through the main gas pipe and outputting a flow rate signal; a flow rate control valve mechanism controlling a flow rate; a conversion data storage unit storing a plurality of pieces of conversion data corresponding to a plurality of gaseous species, to indicate a relationship between a flow rate instruction signal input from outside and a target flow rate; and a flow rate control main body which selects the corresponding conversion data from the conversion data based on a gaseous species selection signal input from outside, calculates the target flow rate based on the flow rate instruction signal, and controls the flow rate control valve mechanism based on the target flow rate and the flow rate signal.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tsuneyuki Okabe, Shuji Moriya, Kazushige Matsuno
  • Publication number: 20140329391
    Abstract: A method for etching features with a continuous plasma is provided. A first plasma process is provided, comprising providing a flow of a first process gas into a process chamber, maintaining the continuous plasma, and stopping the flow of the first process gas into the process chamber. A transition process is provided, comprising providing a flow of a transition gas into the process chamber, maintaining the continuous plasma, and stopping the flow of the transition gas into the process chamber. A second plasma process is provided, comprising providing a flow of a second process gas into the process chamber, maintaining the continuous plasma, and stopping the second process gas into the process chamber.
    Type: Application
    Filed: May 1, 2013
    Publication date: November 6, 2014
    Applicant: Lam Research Corporation
    Inventor: Lam Research Corporation
  • Patent number: 8877000
    Abstract: A plasma-processing chamber including pulsed gas injection orifices/nozzles utilized in combination with continuous flow shower head injection orifices is described. The continuous flow shower head injection orifices introduce a continuous flow of gas while the pulsed gas injection orifices/nozzles cyclically inject a high-pressure gas into the chamber. In one embodiment, a central computer may monitor and control pressure measurement devices and utilize the measurements to adjust processing parameters (e.g. pulse duration, pulse repetition rate, and the pulse mass flow rate of processing gases).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Patent number: 8877001
    Abstract: Embodiments of gate valves and methods of using same are provided herein. In some embodiments, a gate valve for use in a process chamber may include a body having an opening disposed therethrough from a first surface to an opposing second surface of the body; a pocket extending into the body from a sidewall of the opening; a gate movably disposed within the pocket between a closed position that seals the opening and an open position that reveals the opening and disposes the gate completely within the pocket; and a shutter configured to selectively seal the pocket when the gate is disposed in the open position. In some embodiments, one or more heaters may be coupled to at least one of the body or the shutter.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: November 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Shin Kitamura, Mitsutoshi Fukada
  • Patent number: 8864931
    Abstract: A method for etching a dielectric layer is provided. A patterned mask with mask features is formed over a dielectric layer. The mask has isolated areas and dense areas of the mask features. The mask is trimmed by a plurality of cycles, where each cycle includes depositing a deposition layer, and selectively etching the deposition layer and the patterned mask. The selective etching selectively trims the isolated areas of the mask with respect to the dense areas of the mask. The dielectric layer is etched using the thus trimmed mask. The mask is removed.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 21, 2014
    Assignee: Lam Research Corporation
    Inventors: Supriya Goyal, Dongho Heo, Jisoo Kim, S. M. Reza Sadjadi
  • Publication number: 20140299571
    Abstract: Disclosed are a plasma processing method and a plasma processing apparatus which collectively perform etching under the same etching conditions while suppressing a shape abnormality. The multilayer film material has a polysilicon layer, a first metal layer formed on the polysilicon layer, and a hard mask layer which contains a tungsten layer formed on the first metal layer. In the method, plasma is generated by a mixed gas of a chloride-containing gas which contains a compound containing chlorine and silicon, a compound containing chlorine and boron, or a compound containing chlorine and hydrogen, a chlorine-containing gas which contains chlorine, and a processing gas which contains carbon and fluorine, and the hard mask layer is used as an etching mask so as to perform the etching from a top surface of the first metal layer to a bottom surface of the polysilicon layer.
    Type: Application
    Filed: April 1, 2014
    Publication date: October 9, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masayuki SAWATAISHI
  • Publication number: 20140299152
    Abstract: A plasma processing apparatus includes a slot plate of an antenna and the slot plate has slots arranged in a circumferential direction thereof with respect to an axis line. A microwave is introduced into a processing space from the antenna via a dielectric window, and a through hole is formed in the dielectric window along the axis line. A plasma processing method performed in the plasma processing apparatus includes performing a first cleaning process by radiating the microwave from the antenna and supplying a cleaning gas from a cleaning gas supply system; and performing a second cleaning process by radiating the microwave from the antenna and supplying the cleaning gas from the cleaning gas supply system. A first pressure of the processing space in the performing of the first cleaning process is set to be lower than a second pressure thereof in the performing of the second cleaning process.
    Type: Application
    Filed: October 17, 2012
    Publication date: October 9, 2014
    Inventors: Wataru Yoshikawa, Naoki Matsumoto
  • Patent number: 8851106
    Abstract: A disclosed gas supplying apparatus includes a pressure controller that reduces a primary pressure thereby providing a secondary pressure greater than a process pressure at which a predetermined process is performed and less than the atmospheric pressure in a secondary pipe; a pressure sensor that measures a pressure in the secondary pipe; a first open/close valve provided in the secondary pipe; an open/close valve controller that opens or closes the first open/close valve; a pressure comparator that compares the pressure measured by the pressure sensor in the secondary pipe with a first set pressure that is greater than the process pressure by a predetermined pressure; and a controller that outputs a signal to the open/close valve controller thereby closing the first open/close valve, when the pressure comparator determines that the pressure in the secondary pipe is less than the first set pressure.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Tsuneyuki Okabe
  • Publication number: 20140290860
    Abstract: A plasma process apparatus that utilizes plasma so as to perform a predetermined process on a substrate, and includes a process chamber that houses a substrate subjected to the predetermined plasma process; a microwave generator; a dielectric window attached to the process chamber and provided with a concave portion provided at an outer surface of the dielectric window opposite to the process chamber and a through hole penetrating the dielectric window to the process chamber; a microwave transmission line; and a first process gas supplying portion including a gas conduit including a first portion provided at a front end and a second portion having a larger diameter than the first portion, the gas conduit being inserted from outside of the process chamber such that the first portion is inserted in the through hole and the second portion is inserted in the concave portion.
    Type: Application
    Filed: April 21, 2014
    Publication date: October 2, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Masahide IWASAKI
  • Patent number: 8833388
    Abstract: According to one embodiment, there is provided pressure controlling apparatus including a detecting unit, an exhaust pipe, a regulating valve, and a pressure controlling unit. The regulating valve includes a valve port, a changing unit, and a slide valve. The valve port is communicated with the exhaust pipe. The changing unit changes a shape of the valve port to a different shape whose center is located near the central axis of the exhaust pipe. The slide valve regulates an opening degree of the valve port changed by the changing unit. The pressure controlling unit controls changing of a shape of the valve port by the changing unit and regulation of an opening degree of the valve port by the slide valve.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 16, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Eto, Makoto Saito, Nobuyasu Nishiyama
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Publication number: 20140248779
    Abstract: A method for forming lines in an etch layer on a substrate may comprise providing a ultra-violet (UV) producing gas to a vacuum chamber having a photoresist mask, ionizing the UV producing gas to produce UV rays to irradiate the photoresist mask, and etching the lines into the etch layer through the photoresist mask.
    Type: Application
    Filed: May 15, 2014
    Publication date: September 4, 2014
    Inventors: Shih-Yuan CHENG, Shenjian LIU, Youn Gi HONG, Qian FU
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Patent number: 8794261
    Abstract: A fluid control system includes a vacuum chamber, a gas supply source to supply gas as a fluid, an exhaust pipe to discharge the fluid from the vacuum chamber, a gas supply pipe to connect the vacuum chamber to the gas supply source, and a pressure sensor to detect an internal pressure of the vacuum chamber. This system further includes a flowmeter placed between the gas supply source and the vacuum chamber, a proportional valve placed between the flowmeter and the vacuum chamber, a pressure controller to control the proportional valve based on output of the pressure sensor, a metering valve placed on the exhaust pipe, and a flow controller to control the metering valve based on an output of the flowmeter.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: August 5, 2014
    Assignee: CKD Corporation
    Inventors: Masayuki Watanabe, Yoshiyuki Yamada, Shunsuke Umezawa
  • Patent number: 8790464
    Abstract: A mole delivery system and method provide pulses of known molar quantities as a function of the time duration of each pulse, which in turn is derived as a function of the ideal gas law. In one embodiment of the system, the system comprises: a chamber of known volume and controlled and known temperature; a pressure sensor to measure the pressure in the chamber; an outlet valve to a process tool; an inlet valve to charge the chamber with the delivery gas; and a control system configured and arranged so as to control the operation of the outlet valve, control the amount of each gas pulse by controlling the timing of the valve to the process tool.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: July 29, 2014
    Assignee: MKS Instruments, Inc.
    Inventor: Paul Meneghini
  • Patent number: 8790470
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Taek Lim, Ha-Soo Hwang, Haldorai Yuvaraj, Jae-Mok Jung
  • Publication number: 20140193977
    Abstract: A plasma etching apparatus includes a processing chamber; a holding unit for holding the substrate within the processing chamber; an electrode plate facing the holding unit; a plurality of supply parts arranged at different radial positions with respect to the substrate for supplying processing gas to a space between the holding unit and the electrode plate; a high frequency power supply that supplies high frequency power to the holding unit and/or the electrode plate to convert the processing gas supplied to the space into plasma; an adjustment unit that adjusts a supply condition for each of the supply parts; and a control unit that controls the adjustment unit to vary the supply condition between a position where an effect of diffusion of processing gas on an active species concentration distribution at the substrate is dominant and a position where an effect of flow of the processing gas is dominant.
    Type: Application
    Filed: August 28, 2012
    Publication date: July 10, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Masaya Kawamata, Masanobu Honda, Kazuhiro Kubota
  • Publication number: 20140162463
    Abstract: A plasma etching method is provided for etching a semiconductor substrate with plasma using a metal mask that is patterned on the semiconductor substrate. The plasma etching method includes a first etching step of controlling a pressure within a chamber to a first pressure and etching the semiconductor substrate inside the chamber under the first pressure using a plasma generated from a fluorine-containing gas; and a second etching step to be performed after the first etching step, the second etching step including controlling the pressure within the chamber to a second pressure, which is higher than the first pressure, and etching the semiconductor substrate inside the chamber under the second pressure using the plasma generated from the fluorine-containing gas.
    Type: Application
    Filed: December 6, 2013
    Publication date: June 12, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Ryuichi TAKASHIMA
  • Patent number: 8746170
    Abstract: A vacuum chamber is evacuated through a first evacuation passage provided with a first valve and a second evacuation passage provided with a second valve. An opening degree of the first valve is adjusted so that a pressure in the vacuum chamber becomes substantially equal to a process pressure P; an opening degree of a butterfly valve further provided in the second evacuation passage is adjusted to substantially equal to a set value determined by a table in order to set flow rates of gases to be evacuated through the first evacuation passage and the second evacuation passage to be substantially equal to corresponding set values determined by the recipe; and an opening degree of the second valve is adjusted so that a measurement value of a differential pressure gauge further provided in the second evacuation passage becomes substantially equal to a differential pressure written in the table.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kohichi Orito, Manabu Honma, Tatsuya Tamura
  • Patent number: 8715472
    Abstract: A substrate processing method may include forming a plasma; extracting ions from the plasma and accelerating the ions to have uniform or substantially uniform directivity using a grid system; irradiating the ions at a reflector, wherein the reflector includes a plurality of reflecting plates each having a metal plate and an insulating layer on the metal plate, wherein the reflecting plates are parallel or substantially parallel such that the insulating layers are exposed to the ions; reflecting the ions incident on the reflecting plates away from the insulating layers of the reflecting plates; colliding the ions reflected away from the insulating layers with the metal plates to convert the ions into neutral beams; and irradiating the neutral beams onto a substrate to process the substrate.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: May 6, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Wook Hwang, Chul-Ho Shin
  • Publication number: 20140116621
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Application
    Filed: September 20, 2013
    Publication date: May 1, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Masahito MORI, Naoyuki KOFUJI, Naoshi ITABASHI
  • Patent number: 8707754
    Abstract: Methods and apparatus for calibrating a plurality of gas flows in a substrate processing system are provided herein. In some embodiments, a substrate processing system may include a cluster tool comprising a first process chamber and a second process chamber coupled to a central vacuum transfer chamber; a first flow controller to provide a process gas to the first process chamber; a second flow controller to provide the process gas to the second process chamber; a mass flow verifier to verify a flow rate from each of the first and second flow controllers; a first conduit to selectively couple the first flow controller to the mass flow verifier; and a second conduit to selectively couple the second flow controller to the mass flow verifier.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: April 29, 2014
    Assignee: Applied Materials, Inc.
    Inventors: James P. Cruse, John W. Lane, Mariusch Gregor, Duc Buckius, Berrin Daran, Corie Lynn Cobb, Ming Xu, Andrew Nguyen
  • Patent number: 8696814
    Abstract: A disclosed film deposition apparatus includes a process chamber inside which a reduced pressure space is maintained; a gas supplying portion that supplies a film deposition gas to the process chamber; a substrate holding portion that is made of a material including carbon as a primary constituent and holds a substrate in the process chamber; a coil that is arranged outside the process chamber and inductively heats the substrate holding portion; and a thermal insulation member that covers the substrate holding portion and is arranged to be separated from the process chamber, wherein the reduced pressure space is separated into a film deposition gas supplying space to which the film deposition gas is supplied and a thermal insulation space defined between the substrate holding portion and the process chamber, and wherein a cooling medium is supplied to the thermal insulation space.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: April 15, 2014
    Assignees: Tokyo Electron Limited, Rohm Co., Ltd.
    Inventors: Eisuke Morisaki, Hirokatsu Kobayashi, Jun Yoshikawa, Ikuo Sawada, Tsunenobu Kimoto, Noriaki Kawamoto, Masatoshi Aketa
  • Publication number: 20140087486
    Abstract: A method for etching trenches in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated, comprising flowing a treatment gas comprising H2 and N2, forming a plasma from the treatment gas, making patterned organic mask more resistant to wiggling, and stopping the flow of the treatment gas. Trenches are etched in the etch layer through the patterned organic mask.
    Type: Application
    Filed: September 24, 2012
    Publication date: March 27, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Joseph J. VEGH, Yungho NOH
  • Patent number: 8668805
    Abstract: A semiconductor device may be formed by the method comprising providing a patterned photoresist mask over the etch layer, the photoresist mask having at least one photoresist line having a pair of sidewalls ending at a line end, placing a coating over the at least one photoresist line comprising at least one cycle, wherein each cycle comprises: a) depositing a polymer layer over the photoresist line, wherein an amount of polymer at the line end is greater than an amount of polymer on the sidewalls, and b) hardening the polymer layer, and etching features into the etch layer through the photoresist mask, wherein a line end shortening (LES) is less than or equal to 1.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: March 11, 2014
    Assignee: Lam Research Corporation
    Inventors: Gowri Kota, Frank Y. Lin, Qinghua Zhong
  • Patent number: 8651135
    Abstract: According to one embodiment, a flow rate adjusting unit is disposed on a gas passageway and includes a valve that adjusts the flow rate of a gas and an actuator that controls the displacement amount of the valve. A displacement amount storage unit stores displacement amount information in which a displacement amount of the valve, used when a gas flows into the gas passageway at a flow rate defined according to a process procedure before performing the process procedure, is obtained in advance for each process procedure. A setting circuit acquires the displacement amount corresponding to the process procedure from the displacement amount storage unit, and controls the actuator on the basis of the acquired displacement amount.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: February 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Eto, Makoto Saito, Nobuyasu Nishiyama
  • Patent number: 8652258
    Abstract: It is intended to provide a substrate treatment device capable of adjusting both of a growth speed and an etching speed in a selective epitaxial growth, avoiding particle generation from nozzles, and achieving good etching characteristics. A substrate treatment device for selectively growing an epitaxial film on a surface of a substrate by alternately supplying a raw material gas containing silicon and an etching gas to a treatment chamber, the substrate treatment device being provided with a substrate support member for supporting the substrate in the treatment chamber, a heating member provided outside the treatment chamber for heating the substrate and an atmosphere of the treatment chamber, a gas supply system provided inside the treatment chamber, and a discharge port opened on the treatment chamber, wherein the gas supply system comprises first gas supply nozzles for supplying the raw material gas and second gas supply nozzles for supplying the etching gas.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: February 18, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takashi Yokogawa, Yasuhiro Inokuchi, Katsuhiko Yamamoto, Yoshiaki Hashiba, Yasuhiro Ogawa
  • Publication number: 20140041804
    Abstract: A plasma processing apparatus includes at least three gas supply lines connected to a process chamber in parallel to allow a gas to flow therethrough, and at least three flow-rate controllers provided on the gas supply lines to detect the flow rate of the gas flowing through each of the flow-rate controllers to control the flow rate to a set value and a diagnosis method. The apparatus has a function of splitting and supplying a gas controlled to a predetermined flow rate by the third flow-rate controller, to a first flow-rate controller for the smallest detectable range of the three flow-rate controllers and to a second flow-rate controller, in order to test the operation of the control of the flow rate of the first flow-rate controller, based on the value obtained from the flow rate of the gas flowing through the second flow-rate controller and the predetermined flow rate.
    Type: Application
    Filed: September 13, 2012
    Publication date: February 13, 2014
    Inventors: Masahiro NAGATANI, Yoshifumi Ogawa
  • Publication number: 20140045278
    Abstract: A method of manufacturing a semiconductor device includes: (a) supplying a first process gas from a first process gas supply unit into a process chamber via a flow rate control device to form a film on a substrate; (b) transmitting a signal representing an exhaust pressure detected by a pressure detector to a controller after the first process gas is supplied into the process chamber; (c) controlling a pressure adjustor and the flow rate control device once the signal is received by the controller such that the exhaust pressure reaches a predetermined pressure; (d) supplying a purge gas from a purge gas supply unit into the process chamber to purge an inside atmosphere after forming the first film; and (e) supplying a second process gas from a second process gas supply unit into the process chamber via the flow rate control device to form a second film.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 13, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Hidenari Yoshida, Tomoshi Taniyama
  • Publication number: 20140038393
    Abstract: A method of processing a substrate includes performing a first exposure that comprises generating a plasma containing reactive gas ions in a plasma chamber and generating a bias voltage between the substrate and the plasma chamber. The method also includes providing a plasma sheath modifier having an aperture disposed between the plasma and substrate and operable to direct the reactive gas ions toward the substrate, and establishing a pressure differential between the plasma chamber and substrate region while the reactive gas ions are directed onto the substrate.
    Type: Application
    Filed: July 31, 2012
    Publication date: February 6, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Xianfeng Lu, Deepak A. Ramappa
  • Publication number: 20140017901
    Abstract: The etching of a sacrificial silicon dioxide (SiO2) portion in a microstructure such as a microelectro-mechanical structures (MEMS) by the use an etchant gas, namely hydrogen fluoride (HF) vapour is performed with greater selectivity to other portions within the MEMS, and in particular portions of silicon nitride (Si3N4). This is achieved by the addition of a secondary non-etchant gas suitable for increase the ratio of difluoride reactive species (HF2? and H2F2) to monofluoride reactive species (F?, and HF) within the HF vapour. The secondary non-etchant gas may comprise a hydrogen compound gas. The ratio of difluoride reactive species (HF2? and H2F2) to the monofluoride reactive species (F?, and HF) within the HF vapour can also be increased by setting an etch operating temperature to 20° C. or below.
    Type: Application
    Filed: January 24, 2012
    Publication date: January 16, 2014
    Applicant: MEMSSTAR LIMITED
    Inventor: Anthony O'Hara
  • Publication number: 20140017891
    Abstract: Top-down methods of increasing reflectivity of tungsten films to form films having high reflectivity, low resistivity and low roughness are provided. The methods involve bulk deposition of tungsten followed by a removing a top portion of the deposited tungsten. In particular embodiments, removing a top portion of the deposited tungsten involve exposing it to a fluorine-containing plasma. The methods produce low resistivity tungsten bulk layers having lower roughness and higher reflectivity. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. Applications include forming tungsten bit lines.
    Type: Application
    Filed: July 2, 2013
    Publication date: January 16, 2014
    Inventors: Anand Chandrashekar, Raashina Humayun
  • Patent number: 8627783
    Abstract: A combined pressure control/plasma confinement assembly configured for confining a plasma and for at least partially regulating pressure in a plasma processing chamber during plasma processing of a substrate is provided. The assembly includes a movable plasma confinement structure having therein a plurality of perforations and configured to surround the plasma when deployed.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: January 14, 2014
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, Akira Koshiishi
  • Publication number: 20140000809
    Abstract: A gas pressure control valve having a valve body with a gas inlet and a gas outlet is described. An inner cap is provided bounding in part a chamber within the valve body in communication with the gas inlet. The valve body contains a spring loaded piston. comprising a piston head and a piston rod. The piston rod includes a conduit providing communication between the chamber bounded in part by the inner cap and a chamber adjacent the piston head and the gas outlet. The piston is operable to move between a closed configuration in which the piston rod prevents communication between the gas inlet and the chamber bounded in part by the inner cap and an open configuration in which the piston rod permits communication between the gas inlet and the chamber bounded in part by the inner cap.
    Type: Application
    Filed: September 6, 2013
    Publication date: January 2, 2014
    Inventor: Andrew Richard Thomas TATAREK
  • Publication number: 20140004707
    Abstract: Provided are methods and systems for removing polysilicon on a wafer. A wafer can include a polysilicon layer and an exposed nitride and/or oxide structure. An etchant with a hydrogen-based species, such as hydrogen gas, and a fluorine-based species, such as nitrogen trifluoride, can be introduced. The hydrogen-based species and the fluorine-based species can be activated with a remote plasma source. The layer of polysilicon on the wafer can be removed at a selectivity over the exposed nitride and/or oxide structure that is greater than about 500:1.
    Type: Application
    Filed: June 12, 2013
    Publication date: January 2, 2014
    Inventors: Bayu Thedjoisworo, Jack Kuo, David Cheung, Joon Park
  • Patent number: 8617347
    Abstract: A method and apparatus for vacuum processing of a workpiece, the apparatus including a flow equalizer disposed in a vacuum processing chamber between a workpiece support pedestal and a pump port located in a wall of the vacuum processing chamber. In an embodiment, the flow equalizer has a first annular surface concentric about the workpiece support pedestal to provide conductance symmetry about the workpiece support even when the pump port is asymmetrically positioned within the vacuum processing chamber. In an embodiment, the flow equalizer has a second annular surface facing a lower surface of the workpiece support pedestal to restrict conductance as the flow equalizer is moved is response to a chamber pressure control signal. In an embodiment, the apparatus for vacuum processing of a workpiece includes tandem vacuum processing chambers sharing a vacuum pump with each tandem chamber including a flow equalizer to reduce cross-talk between the tandem chambers.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jisoo Kim, Thorsten B. Lill
  • Publication number: 20130333841
    Abstract: A plasma generator (1) comprises: a liquid containing part (3) which contains a liquid (6) including water; a gas containing part (4) which contains a gas; and a partition wall part (5) that separates the liquid containing part and the gas containing part from each other and is provided with a gas passage (5a) through which the gas in the gas containing part is led to the liquid containing part. The plasma generator (1) is also provided with a first electrode (10) that is arranged in the gas containing part and a second electrode (11) that is arranged so as to be in contact with the liquid in the liquid containing part. The plasma generator (1) is further provided with: a gas supply unit (9) which supplies the gas to the gas containing part; a plasma power supply unit (13); and a liquid inflow prevention device (a control unit (14)) which prevents the liquid from flowing into the gas containing part from the liquid containing part through the gas passage.
    Type: Application
    Filed: February 17, 2012
    Publication date: December 19, 2013
    Applicant: PANASONIC CORPORATION
    Inventors: Kenji Narita, Wataru Sanematsu, Akihiko Saitoh, Satoshi Nakayama
  • Patent number: 8601976
    Abstract: A gas supply system includes a main gas supply line; a vent gas supply line; a plurality of gas supply mechanisms disposed in middle of both gas supply lines; a pressure type flow-rate control system disposed on an inlet side of the main gas supply line so a flow of carrier gas is supplied to the main gas supply line; a pressure control system disposed on an inlet side of the vent gas supply line, a carrier gas having a predetermined pressure is supplied to the vent gas supply line while the pressure control system performs a pressure adjustment, a gas pressure of the main gas supply line detected downstream from an orifice of the pressure type flow-rate control system and a gas pressure of the vent gas supply line are compared, and the gas pressure of the vent gas supply line is adjusted so a difference therebetween becomes zero.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: December 10, 2013
    Assignee: Fujikin Incorporated
    Inventors: Kouji Nishino, Ryousuke Dohi, Masaaki Nagase, Kaoru Hirata, Katsuyuki Sugita, Nobukazu Ikeda
  • Publication number: 20130323930
    Abstract: Provided are methods and systems for forming air gaps in an interconnect layer between adjacent conductive lines. Protective layers may be selectively formed on exposed surfaces of the conductive lines, while structures in between the lines may remain unprotected. These structures may be made from a sacrificial material that is later removed to form voids. In certain embodiments, the structures are covered with a permeable non-protective layer that allows etchants and etching products to pass through during removal. When a work piece having a selectively formed protective layer is exposed to gas or liquid etchants, these etchants remove the sacrificial material without etching or otherwise impacting the metal lines. Voids formed in between these lines may be then partially filled with a dielectric material to seal the voids and/or protect sides of the metal lines. Additional interconnect layers may be formed above the processed layer containing air gaps.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 5, 2013
    Inventors: Kaushik Chattopadhyay, George A. Antonelli, Pramod Subramonium, Mandyam Sriram, Tighe A. Spurlin
  • Patent number: 8590484
    Abstract: Provided is a semiconductor device manufacturing method and a substrate processing apparatus. The method comprise: a first process of forming a film containing a predetermined element on a substrate by supplying a source gas containing the predetermined element to a substrate processing chamber in which the substrate is accommodated; a second process of removing the source gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; a third process of modifying the predetermined element-containing film formed in the first process by supplying a modification gas that reacts with the predetermined element to the substrate processing chamber; a fourth process of removing the modification gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; and a filling process of filling an inert gas in a gas tank connected to the substrate processing chamber.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: November 26, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Taketoshi Sato, Masayuki Tsuneda
  • Publication number: 20130299089
    Abstract: An apparatus for etching a bevel edge of a substrate includes a bevel etch chamber and a controller including non-transitory computer readable media. The computer readable media includes computer readable code for providing a cleaning gas comprising at least one of a CO2 or CO, computer readable code for forming a cleaning plasma from the cleaning gas, and computer readable code for cleaning the bevel edge with the cleaning plasma, including computer readable code for placing the gas distribution plate at a close distance from a top surface of the substrate such that the cleaning plasma is not formed between the gas distribution plate and the substrate during the bevel edge cleaning, the bevel edge exposed to the cleaning plasma including at least an edge portion of a top surface at an edge of the substrate.
    Type: Application
    Filed: July 16, 2013
    Publication date: November 14, 2013
    Inventors: Yunsang KIM, Andrew BAILEY, III, Jack CHEN
  • Publication number: 20130284369
    Abstract: Plasma distribution is controlled in a plasma reactor by controlling the phase difference between opposing RF electrodes, in accordance with a desired or user-selected phase difference, by a phase-lock feedback control loop.
    Type: Application
    Filed: October 1, 2012
    Publication date: October 31, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Satoru Kobayashi, Lawrence Wong, Jonathan Liu, Yang Yang, Kartik Ramaswamy, Shahid Rauf, Shane C. Nevil, Kallol Bera, Kenneth S. Collins
  • Publication number: 20130270227
    Abstract: A method for etching a metal layer dispose below a mask is provided. The metal layer is placed in an etch chamber. A precursor gas is flowed into the etch chamber. The precursor gas is adsorbed into the metal layer to form a precursor metal complex. The precursor metal complex is heated to a temperature above a vaporization temperature of the precursor metal complex, while the metal layer is exposed to the precursor gas. The vaporized precursor metal complex is exhausted from the etch chamber.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 17, 2013
    Applicant: Lam Research Corporation
    Inventors: Joydeep GUHA, Jeffrey MARKS, Butsurin JINNAI
  • Publication number: 20130255883
    Abstract: Methods and apparatus for supplying gas in a plasma processing system that employs the single line drop approach wherein a regulator is shared among multiple mass flow controllers. In one or more embodiments, an accumulator is provided and coupled in gaseous communication with a shared manifold to reduce pressure spikes and dips. A filter, which may be replaceable or non-replaceable separate from the accumulator, is integrated with the accumulator in one or more embodiments.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Inventors: Iqbal A. Shareef, Evangelos Spyropoulos, Mark Taskar