With Mechanical Mask, Shield Or Shutter For Shielding Workpiece Patents (Class 156/345.3)
  • Patent number: 8591656
    Abstract: When compound semiconductor layers are formed on a compound semiconductor substrate (40) by sequentially layering group III nitride semiconductor crystalline layers by metal organic chemical vapor deposition method, the compound semiconductor substrate (40) is attached inside of a reaction container with the crystal growth surface thereof facing upward, a protection member (60) having plural grooves (63) formed in a radiating manner on the side facing the crystal growth surface is attached above the compound semiconductor substrate (40), and a material gas is supplied to the inside of the reaction container through a first through hole (61) provided in the center of the protection member (60). Thereby, in the manufacture of a compound semiconductor using metal organic chemical vapor deposition method, a decrease in yield caused by adhesion of peeled-off reaction byproducts to the substrate or to the epitaxially grown film on the substrate is suppressed.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: November 26, 2013
    Assignee: Toyoda Gosei Co., Ltd.
    Inventor: Tetsuo Sakurai
  • Patent number: 8591709
    Abstract: A shield assembly for a sputter deposition chamber, the shield assembly including an outer sleeve with a gas inlet to conduct a gas through the outer sleeve and an inner sleeve disposed within the outer sleeve, the inner sleeve including gas channels on a surface mating with the outer sleeve to conduct the gas between the inner and outer sleeves. The shield assembly may further include an aperture ring adjacent to a first end of both the inner and outer sleeves, the aperture ring including a plurality of gas outlets to conduct the gas from the gas channels and an inner aperture flange extending from the plurality of gas outlets and adjacent to gas shield flange to form a gas runway for conducting the gas toward a sputter target located within the deposition chamber.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: November 26, 2013
    Assignee: WD Media, LLC
    Inventors: Chichoy Lim, Eang Keong Tan, Weng Aun Teh, Yi Chun Tan, Sheik Chian Lee
  • Publication number: 20130306596
    Abstract: A hard mask film 2 provided on substrate 1 is formed by tin-containing chromium-containing material. In the chromium-containing material including tin, which forms the hard mask film 2, the etching resistance to fluorine-containing dry etching is equal to or higher than the etching resistance of the tin-free chromium-containing material, and it shows a significantly high etching rate as compared with a chromium-containing material free of tin under conditions for chlorine-containing dry etching. As a result, the time for chlorine-containing dry etching is shortened, and damage to a resist pattern is reduced. Thus, high-precision pattern transfer can be performed. The present invention provides a novel technique for increasing etching process-ability by increasing a dry-etching rate of a hard mask film made of a chromium-containing material while assuring a hard mask function of the hard mask film.
    Type: Application
    Filed: May 13, 2013
    Publication date: November 21, 2013
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Souichi FUKAYA, Hideo Nakagawa, Kouhei Sasamoto
  • Publication number: 20130292056
    Abstract: An edge ring assembly surrounds a substrate support surface in a plasma etching chamber. The edge ring assembly comprises an edge ring and a dielectric spacer ring. The dielectric spacer ring, which surrounds the substrate support surface and which is surrounded by the edge ring in the radial direction, is configured to insulate the edge ring from the baseplate. Incorporation of the edge ring assembly around the substrate support surface can decrease the buildup of polymer at the underside and along the edge of a substrate and increase plasma etching uniformity of the substrate.
    Type: Application
    Filed: July 2, 2013
    Publication date: November 7, 2013
    Inventors: Jeremy Chang, Andreas Fischer, Babak Kadkhodayan
  • Patent number: 8574397
    Abstract: A plasma processing chamber configured for cleaning a bevel edge of a substrate is provided. The chamber includes a top edge electrode surrounding an insulating plate, and the insulator plate opposes a bottom electrode. The top edge electrode is electrically grounded and separated from the insulator plate by a top dielectric ring. The chamber also includes a bottom edge electrode that is electrically grounded and surrounds the bottom electrode and is separated from the bottom electrode by a bottom dielectric ring. The bottom edge electrode is oriented to oppose the top edge electrode, and the bottom edge electrode has an L shape that is up-facing. Bevel edge plasma processing of a substrate edge is configured to be processed in a chamber having the top and bottom edge electrodes.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: November 5, 2013
    Assignee: Lam Research Corporation
    Inventors: Gregory S. Sexton, Andrew D. Bailey, III, Andras Kuthi
  • Publication number: 20130288021
    Abstract: A resin composition for photoimprinting, a cured product of the resin composition which is excellent in etching and heat resistance, and a pattern forming process using the resin composition are provided. The resin composition contains photocurable monomer (A) containing at least one carbazole compound of formula (I): a photocurable monomer (B) containing at least one compound of the following formulae (II), (III), and (IV): and a photopolymerization initiator (C). The weight ratio of the photocurable monomer (A) to the photocurable monomer (B) is from 30/70 to 87/13.
    Type: Application
    Filed: December 2, 2011
    Publication date: October 31, 2013
    Applicant: Maruzen Petrochemical Co., Ltd.
    Inventors: Yoshihisa Hayashida, Takuro Satsuka, Teruyo Ikeda, Norio Futaesaku, Toshifumi Takemori
  • Patent number: 8568553
    Abstract: A method and apparatus for etching photomasks is provided herein. In one embodiment, the apparatus comprises a process chamber having a support pedestal adapted for receiving a photomask. An ion-neutral shield is disposed above the pedestal and a deflector plate assembly is provided above the ion-neutral shield. The deflector plate assembly defines a gas flow direction for process gases towards the ion-neutral shield, while the ion-neutral shield is used to establish a desired distribution of ion and neutral species in a plasma for etching the photomask.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: October 29, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Amitabh Sabharwal, Sheeba J. Panayil, Alan Hiroshi Ouye
  • Publication number: 20130277332
    Abstract: Included within the scope of the invention are plasma etch-resistant films for substrates. The films include a yttria material and a at least a portion of the yttria material is in a crystal phase having an orientation defined by a Miller Index notation {111}. Also included are methods of manufacturing plasma etch-resistant films on a substrate. Such methods include applying a yttria material-containing composition onto at least a portion of a surface of a substrate to form a film. The film includes a yttria material and at least a portion of the yttria material is in a crystal phase having an orientation defined by a Miller Index notation {111}.
    Type: Application
    Filed: March 4, 2013
    Publication date: October 24, 2013
    Inventor: GREENE, TWEED OF DELAWARE, INC.
  • Publication number: 20130276978
    Abstract: An arrangement for supporting substrates during processing, having a wafer carrier with a susceptor for supporting the substrate and confining the substrate to predetermined position. An inner mask is configured for placing on top of the substrate, the inner mask having an opening pattern to mask unprocessed parts of the substrate, but expose remaining parts of the substrate for processing. An outer mask is configured for placing on top of the inner mask, the outer mask having an opening that exposes the part of the inner mask having the opening pattern, but cover the periphery of the inner mask.
    Type: Application
    Filed: April 19, 2013
    Publication date: October 24, 2013
    Applicant: Intevac, Inc.
    Inventors: Terry Bluck, Ian Latchford, Vinay Shah, Alex Riposan
  • Patent number: 8557044
    Abstract: A shadow mask, a method of manufacturing the shadow mask, and a method of forming a thin film using the shadow mask are provided. The shadow mask includes an upper layer and a lower layer. The upper layer includes a first opening. The lower layer is formed on a lower surface of the upper layer around the first opening and includes an opening having the same size as the first opening. When the thin film is formed using the shadow mask, the lower layer of the shadow mask is close to the edge of a cavity of a substrate, and a position on which the thin film may be formed as defined by the lower layer of the shadow mask. Therefore, the thickness of the thin film can be uniform.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-whan Chung, Seok-jin Kang, Hyun-koo Jeong
  • Publication number: 20130267094
    Abstract: A plasma etching method for plasma etching, in a processing chamber, an antireflection film laminated on an organic film formed on a substrate by using an etching mask made of a resist film formed on the antireflection film, the plasma etching method includes: depositing a Si-containing compound on the etching mask made of the resist film by using plasma of Si-containing gas in the processing chamber; and etching the antireflection film in a state where the Si-containing compound is deposited on the etching mask.
    Type: Application
    Filed: April 1, 2013
    Publication date: October 10, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Takayuki KATSUNUMA, Masanobu HONDA, Hironobu ICHIKAWA, Jin KUDO
  • Publication number: 20130233828
    Abstract: An atmospheric plasma irradiation unit has a discharge tube for ejecting a primary plasma formed of an inductively coupled plasma of an inert gas and a mixer for generating a secondary plasma formed of a mixed gas plasmanized by collisions of the primary plasma with a mixed gas region of a second inert gas and a reactive gas. The discharge tube and the mixer are included in a plasma head. A moving unit moves the plasma head so that an irradiation area of the secondary plasma to an object is moved on a circular or other-shaped locus.
    Type: Application
    Filed: November 8, 2011
    Publication date: September 12, 2013
    Inventors: Masashi Matsumori, Shigeki Nakatsuka, Teppei Kojio
  • Publication number: 20130230969
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Application
    Filed: February 11, 2013
    Publication date: September 5, 2013
    Applicant: Plasma-Therm LLC
    Inventors: Linnell Martinez, David Pays-Volard, Chris Johnson, David Johnson, Russell Westerman
  • Publication number: 20130210232
    Abstract: A method for patterning a plurality of features in a non-rectangular pattern, such as on an integrated circuit device, includes providing a substrate including a surface with a plurality of elongated protrusions, the elongated protrusions extending in a first direction. A first layer is formed above the surface and above the plurality of elongated protrusions, and patterned with an end cutting mask. The end cutting mask includes two nearly-adjacent patterns with a sub-resolution feature positioned and configured such that when the resulting pattern on the first layer includes the two nearly adjacent patterns and a connection there between. The method further includes cutting ends of the elongated protrusions using the pattern on the first layer.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 15, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ho Wei De, Kuei-Liang Lu, Ming-Feng Shieh, Ching-Yu Chang
  • Publication number: 20130203260
    Abstract: This etching method comprises a step for forming an organic compound gas (22) atmosphere around a copper film (101) that has a mask material (102) formed on the surface thereof and a step for using the mask material (102) as a mask on the copper film (101), irradiating with oxygen ions (6), and performing anisotropic etching of the copper film (101) in the organic compound gas (22) atmosphere.
    Type: Application
    Filed: July 29, 2011
    Publication date: August 8, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenichi Hara, Takashi Hayakawa
  • Patent number: 8500907
    Abstract: The invention relates to a masking system for masking a cylinder bore (2) of a combustion engine (3) during a thermal coating procedure including a masking body (4) which can be placed during the thermal coating of a first cylinder (5) of the combustion engine (3) in the cylinder bore (2) of a second cylinder (7) to cover a cylinder wall (6) of the second cylinder (7). In this arrangement the masking body (4) is designed in such a way that a flow gap (10) of predeterminable breadth can be set between the masking body (4) and the cylinder wall (6) of the second cylinder (7) for the production of a flow (8) of a fluid (9).
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: August 6, 2013
    Assignee: Sulzer Metco AG
    Inventors: Christian Bohnheio, Gerard Barbezat
  • Publication number: 20130192758
    Abstract: An apparatus for fabrication of microscopic structures that uses a beam process, such as beam-induced decomposition of a precursor, to deposit a mask in a precise pattern and then a selective, plasma beam is applied, comprising the steps of first creating a protective mask upon surface portions of a substrate using a beam process such as an electron beam, focused ion beam (FIB), or laser process, and secondly etching unmasked substrate portions using a selective plasma beam etch process. Optionally, a third step comprising the removal of the protective mask may be performed with a second, materially oppositely selective plasma beam process.
    Type: Application
    Filed: November 5, 2012
    Publication date: August 1, 2013
    Applicant: FEI Company
    Inventor: FEI Company
  • Publication number: 20130196510
    Abstract: A more uniform plasma process is implemented for treating a treatment object using an inductively coupled plasma source which produces an asymmetric plasma density pattern at the treatment surface using a slotted electrostatic shield having uniformly spaced-apart slots. The slotted electrostatic shield is modified in a way which compensates for the asymmetric plasma density pattern to provide a modified plasma density pattern at the treatment surface. A more uniform radial plasma process is described in which an electrostatic shield arrangement is configured to replace a given electrostatic shield in a way which provides for producing a modified radial variation characteristic across the treatment surface. The inductively coupled plasma source defines an axis of symmetry and the electrostatic shield arrangement is configured to include a shape that extends through a range of radii relative to the axis of symmetry.
    Type: Application
    Filed: March 8, 2013
    Publication date: August 1, 2013
    Applicant: Mattson Technology, Inc.
    Inventor: Mattson Technology, Inc.
  • Publication number: 20130180952
    Abstract: Methods of treating a target surface of an article having one or more passageways includes fluidly connecting a pressure masker including pressurized masking fluid to a first side of at least one passageway, passing the pressurized masking fluid through the at least one passageway from the first side to a second side having the target surface, and treating the target surface of the article using a surface treatment system that disposes a surface treating material on the target surface, wherein the pressurized masking fluid passing through the at least one passageway prevents the surface treating material from permanently altering a cross sectional area of the at least one passageway.
    Type: Application
    Filed: March 14, 2013
    Publication date: July 18, 2013
    Applicant: General Electric Company
    Inventor: General Electric Company
  • Patent number: 8486195
    Abstract: An atomic layer deposition apparatus includes a chamber, a vacuum pump to control a pressure in the chamber, a gas supply unit to supply a reaction gas into the chamber, a substrate holder disposed between the vacuum pump and the gas supply unit and having a heater, a mask assembly disposed between the substrate holder and the gas supply unit and having a cooling path to move coolant, and a coolant source to supply the coolant into the cooling path. The mask assembly is positioned a first distance from a substrate, and coolant is supplied into the cooling path of the mask assembly. The substrate is heated using the heater of the substrate holder, a pressure of the chamber is controlled using the vacuum pump, and reaction gasses are sequentially supplied through the gas supply unit.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 16, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Min-Jae Jeong, Jong-Won Hong, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Jin-Wook Seo, Ji-Su Ahn, Tae-Hoon Yang, Young-Dae Kim, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Jae-Wan Jung, Jong-Ryuk Park, Bo-Kyung Choi, Sang-Hyun Yun
  • Publication number: 20130176073
    Abstract: A BEOL e-fuse is disclosed which reliably blows in the via and can be formed even in the tightest pitch BEOL layers. The BEOL e-fuse can be formed utilizing a line first dual damascene process to create a sub-lithographic via to be the programmable link of the e-fuse. The sub-lithographic via can be patterned using standard lithography and the cross section of the via can be tuned to match the target programming current.
    Type: Application
    Filed: January 11, 2012
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Junjing Bao, Griselda Bonilla, Kaushik Chanda, Samuel S. Choi, Ronald Filippi, Stephan Grunow, Naftali E. Lustig, Dan Moy, Andrew H. Simon
  • Publication number: 20130174982
    Abstract: The present disclosure provides for methods of fabricating a metal hard mask and a metal hard mask fabricated by such methods. A method includes flowing at least one metal reactant gas into a reaction chamber configured to perform chemical vapor deposition (CVD), wherein the at least one metal reactant gas includes a metal-halogen gas or a metal-organic gas. The method further includes depositing a hard mask metal layer by CVD using the at least one metal reactant gas.
    Type: Application
    Filed: January 5, 2012
    Publication date: July 11, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Su-Horng Lin, Lin-Jung Wu, Chi-Ming Yang, Chin-Hsiang Lin
  • Patent number: 8475624
    Abstract: A plasma etch processing chamber configured to clean a bevel edge of a substrate is provided. The chamber includes a bottom edge electrode and a top edge electrode defined over the bottom edge electrode. The top edge electrode and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The chamber includes a gas feed defined through a top surface of the processing chamber. The gas feed introduces a processing gas for striking the cleaning plasma at a location in the processing chamber that is between an axis of the substrate and the top edge electrode. A pump out port is defined through the top surface of the chamber and the pump out port located along a center axis of the substrate. A method for cleaning a bevel edge of a substrate is also provided.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: July 2, 2013
    Assignee: Lam Research Corporation
    Inventors: Greg Sexton, Andrew Bailey, III, Alan Schoen
  • Publication number: 20130149868
    Abstract: A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis.
    Type: Application
    Filed: December 13, 2011
    Publication date: June 13, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Patent number: 8460469
    Abstract: An apparatus for etching a substrate includes: a chamber; a susceptor in the chamber, the susceptor including at least one loading portion corresponding to at least one substrate; a gas supply over the susceptor, the gas supply including a hollow and at least one through hole corresponding to the at least one loading portions; and at least one shielding means interposed into the at least one through holes, the at least one shielding means including a body part and a hanging part on the body part, the body part having a cross-sectional area smaller than the at least one through holes, and the hanging part outwardly protruding from the body part, wherein the at least one shielding means is suspended on the gas supply by the hanging part, and wherein the body part shields a central portion of the at least one substrate and exposes an edge portion of the at least one substrate.
    Type: Grant
    Filed: March 1, 2009
    Date of Patent: June 11, 2013
    Assignee: Jusung Engineering Co., Ltd
    Inventors: Gi-Chung Kwon, Joung-Sik Kim, Jin Hong
  • Publication number: 20130139966
    Abstract: A jig for use in etching supports an etching target while an etching process is performed and surrounds a remaining region of the etching target except for a portion of the etching target, so as to expose the portion of the etching target. Accordingly, a stable support of the etching target during the etching process may be provided, and thus an etching of an undesired region may be prevented, and a stable production yield may be accomplished.
    Type: Application
    Filed: September 14, 2012
    Publication date: June 6, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Su-hee CHAE, Jun-youn KIM, Young-soo PARK, Jae-won LEE, Young-jo TAK, Hyun-gi HONG
  • Patent number: 8440051
    Abstract: Chambers for processing a bevel edge of a substrate are provided. One such chamber includes a bottom electrode defined to support a substrate in the chamber. The bottom electrode has a bottom first level for supporting the substrate and a bottom second level near an outer edge of bottom electrode. The bottom second level is defined at a step below the bottom first level. Further included is a top electrode oriented above the bottom electrode. The top electrode having a top first level and a top second level, where the top first level is opposite the bottom first level and the top second level is opposite the bottom second level. The top second level is defined at a step above the top first level. A bottom ring mount oriented at the bottom second level is included. The bottom ring mount includes a first adjuster for moving a bottom permanent magnet toward and away from the top electrode. Further included is a top ring mount oriented at the top second level.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Yunsang Kim
  • Publication number: 20130115779
    Abstract: In some embodiments, the present invention discloses sealing mechanisms for generating site isolated regions on a substrate, allowing combinatorial processing without cross contamination between regions. The sealing mechanism can include a thin sharp edge ring for pressing on the substrate surface with small contact area. The small sealing area can concentrate the sealing force, generating higher contact pressure to guard against fluid leakage across the sealing surface, for example, eliminating fluid wicking at the seal interface through capillary action. The sealing mechanism can include multiple protrusions, which contacts the substrate leaving a small gap at the remaining portion of the sealing mechanism. The sealing mechanism can include minimal contact points with the substrate, which can significantly reduce the particle generation during processing. A pressure differential can be established across the sealing surface to prevent fluid leakage.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 9, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130105441
    Abstract: Provided is a mask blank which is used for manufacturing an imprinting mold and which may form a fine mold pattern with high pattern accuracy. A mask blank (10) includes a transparent substrate (1) and a thin film (2) contacted with a surface of the substrate. The thin film (2) includes a laminated film including an upper layer (4) which is composed of a material containing silicon (Si) or a material containing tantalum (Ta), and a lower layer (3) which is composed of a material containing at least one of hafnium (Hf) and zirconium (Zr) and containing substantially no oxygen.
    Type: Application
    Filed: April 4, 2011
    Publication date: May 2, 2013
    Applicant: HOYA CORPORATION
    Inventors: Osamu Nozawa, Masahiro Hashimoto
  • Publication number: 20130095667
    Abstract: A protective chuck is disposed on a substrate with a gas bearing layer between the bottom surface of the protective chuck and the substrate surface. The gas bearing layer protects a surface region against a fluid layer covering the substrate surface. The protection of the gas bearing is a non-contact protection, reducing or eliminating potential damage to the substrate surface due to friction. The gas bearing can enable combinatorial processing of a substrate, providing multiple isolated processing regions on a single substrate with different material and processing conditions.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Rajesh Kelekar
  • Publication number: 20130081761
    Abstract: A radical passing device can selectively pass only radicals from plasma securely. In a chamber 11 of a substrate processing apparatus 10, a radical filter 14 provided between a wafer W mounted on a mounting table 12 and a plasma generator 13 includes a upper shield plate 17 and a lower shield plate 18 positioned opposite to the plasma generator 13 with the upper shield plate 17 therebetween. Further, the upper shield plate 17 has a multiple number of upper through holes 17a formed in a thickness direction thereof, and the lower shield plate 18 has a multiple number of lower through holes 18a formed in a thickness direction thereof. Furthermore, a negative DC voltage is applied to the upper shield plate 17, and a positive DC voltage is applied to the lower shield plate 18.
    Type: Application
    Filed: September 27, 2012
    Publication date: April 4, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Publication number: 20130084653
    Abstract: According to one embodiment, a method for patterning a medium having a patterned hard mask applied thereon is disclosed herein. The patterned hard mark includes a plurality of apertures exposing portions of the medium. The method includes directing ions toward the medium, implanting a portion of the ions into the exposed portions of the medium, removing a layer of the patterned hard mask with another portion of the ions, and depositing hard mask material onto the patterned hard mask. Depositing hard mask material onto the exposed portions of the medium may follow implantation of the portion of the ions into the exposed portions of the medium.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Inventors: Kurt A. Rubin, Dan S. Kercher
  • Publication number: 20130076600
    Abstract: Embodiments of the present disclosure relate to display devices and electronic devices incorporating a data line distribution segment between neighboring pixel electrodes. Specifically, embodiments of the present disclosure employ a uniformly distributed data line distribution segment coupled to a data line so as to cause a substantially uniform data line-to-pixel electrode capacitance with the neighboring pixel electrodes even when the data line is disposed closer to one of the neighboring pixel electrodes than the other.
    Type: Application
    Filed: September 26, 2011
    Publication date: March 28, 2013
    Applicant: APPLE INC.
    Inventors: Young Bae Park, Cheng Chen, Shih Chang Chang, Zhibing Ge, John Z. Zhong
  • Publication number: 20130062309
    Abstract: A method of reducing roughness in an opening in a surface of a resist material disposed on a substrate, comprises generating a plasma having a plasma sheath and ions therein. The method also includes modifying a shape of a boundary defined between the plasma and the plasma sheath with a plasma sheath modifier so that a portion of the boundary facing the resist material is not parallel to a plane defined by the surface of the substrate. The method also includes providing a first exposure of ions while the substrate is in a first position, the first exposure comprising ions accelerated across the boundary having the modified shape toward the resist material over an angular range with respect to the surface of the substrate.
    Type: Application
    Filed: September 9, 2011
    Publication date: March 14, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Patrick M. Martin, Joseph C. Olson, Andrew J. Hornak
  • Publication number: 20130056770
    Abstract: A patterned surface for improving the growth of semiconductor layers, such as group III nitride-based semiconductor layers, is provided. The patterned surface can include a set of substantially flat top surfaces and a plurality of openings. Each substantially flat top surface can have a root mean square roughness less than approximately 0.5 nanometers, and the openings can have a characteristic size between approximately 0.1 micron and five microns.
    Type: Application
    Filed: September 6, 2012
    Publication date: March 7, 2013
    Inventors: Maxim S. Shatalov, Rakesh Jain, Jinwei Yang, Michael Shur, Remigijus Gaska
  • Patent number: 8361230
    Abstract: Aspects of the present invention are directed to a mask holder for especially large-surface substrates, especially for the micro-structuring of organic electroluminescent materials (OLED) for the production of OLED screens, displays and the like by means of vacuum-coating processes, with a substrate carrier for receiving the substrate during coating processes, with the substrate carrier comprising one or more magnets and the mask features a frame of magnetic material, such that the frame of the mask is held by means of the magnets of the substrate carrier relative to the substrate to be coated.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: January 29, 2013
    Assignee: Applied Materials GmbH & Co. KG
    Inventor: Dieter Manz
  • Publication number: 20120325405
    Abstract: Methods for forming a nanoperforated graphene material are provided. The methods comprise forming an etch mask defining a periodic array of holes over a graphene material and patterning the periodic array of holes into the graphene material. The etch mask comprises a pattern-defining block copolymer layer, and can optionally also comprise a wetting layer and a neutral layer. The nanoperforated graphene material can consist of a single sheet of graphene or a plurality of graphene sheets.
    Type: Application
    Filed: August 23, 2012
    Publication date: December 27, 2012
    Inventors: Michael S. Arnold, Padma Gopalan, Nathaniel S. Safron, Myungwoong Kim
  • Publication number: 20120322233
    Abstract: Methods of dicing substrates having a plurality of ICs. A method includes forming a mask comprising a water soluble material layer over the semiconductor substrate. The mask is patterned with a femtosecond laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then etched through the gaps in the patterned mask to singulate the IC and the water soluble material layer washed off.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wei-Sheng LEI, Saravjeet SINGH, Madhava Rao Yalamanchili, Brad EATON, Ajay KUMAR
  • Publication number: 20120322241
    Abstract: Methods of dicing substrates having a plurality of ICs. A method includes forming a multi-layered mask comprising a first mask material layer soluble in a solvent over the semiconductor substrate and a second mask material layer, insoluble in the solvent, over the first mask material layer. The multi-layered mask is patterned with a laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then plasma etched through the gaps in the patterned mask to singulate the IC with the second mask material layer protecting the first mask material layer for at least a portion of the plasma etch. The soluble material layer is dissolved subsequent to singulation to remove the multi-layered mask.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: James M. HOLDEN, Wei-Sheng LEI, Brad EATON, Todd EGAN, Saravjeet SINGH
  • Patent number: 8333868
    Abstract: An apparatus for the plasma treatment of molds (2), in particular for contact lens molds, comprises a treatment chamber (50), in which a first electrode (51) is arranged facing a carrier (1;4) for carrying the molds (2) to be treated. The carrier (1;4) forms the second electrode (52) and comprises a first metal plate (10;40) having holes (100;400) therein and a second metal plate (11;41) which is arranged spaced apart from the first metal plate (10;40), and which is connected to the first metal plate (10;40) in an electrically conductive manner (12,13;43). The molds (2) are arranged on the second metal plate (11;41) with their molding surfaces (210) facing towards the first electrode (51) and are exposable to plasma through the holes (100;400) in the first metal plate (10;40).
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: December 18, 2012
    Assignee: Novartis AG
    Inventors: Gabriela Cocora, Axel Heinrich, Peter Hagmann
  • Publication number: 20120305185
    Abstract: Embodiments of the present invention generally relate to a method and apparatus for plasma etching substrates and, more specifically, to a method and apparatus with protection for edges, sides and backs of the substrates being processed. Embodiments of the present invention provide an edge protection plate with an aperture smaller in size than a substrate being processed, wherein the edge protection plate may be positioned in close proximity to the substrate in a plasma chamber. The edge protection plate overlaps edges and/or sides on the substrate to provide protection to reflective coatings on the edge, sides, and back of the substrate.
    Type: Application
    Filed: April 25, 2012
    Publication date: December 6, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Graeme Jamieson Scott, Amitabh Sabharwal, Ajay Kumar
  • Publication number: 20120305184
    Abstract: Embodiments described herein provide apparatus and methods of etching a substrate using an ion etch chamber having a movable aperture. The ion etch chamber has a chamber body enclosing a processing region, a substrate support disposed in the processing region and having a substrate receiving surface, a plasma source disposed at a wall of the chamber body facing the substrate receiving surface, an ion-radical shield disposed between the plasma source and the substrate receiving surface, and a movable aperture member between the ion-radical shield and the substrate receiving surface. The movable aperture member is actuated by a lift assembly comprising a lift ring and lift supports from the lift ring to the aperture member. The ion-radical shield is supported by shield supports disposed through the aperture member. The aperture size, shape, and/or central axis location may be changed using inserts.
    Type: Application
    Filed: April 25, 2012
    Publication date: December 6, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Graeme Jamieson Scott, Ajay Kumar
  • Publication number: 20120305892
    Abstract: An electronic device comprises an in-plane component formed in an organic semiconductor layer, desirably graphene, on a flexible substrate. The component is formed using imprint lithography to create a trench through the organic semiconductor layer in a roll-to-roll process. The number of process steps required is limited to allow manufacture of the device in a single integrated apparatus.
    Type: Application
    Filed: December 8, 2011
    Publication date: December 6, 2012
    Inventors: Martin Thornton, Nikolay Nikolaevich Iosad
  • Publication number: 20120298622
    Abstract: An assembly for selectively etching an inkjet printhead includes a substrate and printhead layers formed on the substrate. A bonding region can provide a location on the printhead layers for an electrical bond. An ink channeling region can be defined at least in part by the printhead layers. A mask layer can partially cover the printhead layers and include a first opening positioned over the bonding region and a second opening positioned over the ink channeling region. The assembly can also include a via at the first opening and a trench at the second opening having greater depth than the via.
    Type: Application
    Filed: May 27, 2011
    Publication date: November 29, 2012
    Inventors: Lawrence H. White, Robel Vina, Sara Jensen Homeijer, Terry Mcmahon
  • Publication number: 20120295394
    Abstract: A method for forming holes in the backside dielectric layer of solar cells for fabrication of rear point contact. The backside dielectric layer is coated with a layer of carbon. A shadow mask is placed over the carbon layer and reactive ion etch (RIE) is used to transfer the holes in the shadow mask to the carbon layer, to thereby form a carbon mask. The shadow mask is then removed and RIE is used to transfer the holes from the carbon mask to the dielectric layer. The carbon mask is then removed by, e.g., ashing.
    Type: Application
    Filed: May 16, 2012
    Publication date: November 22, 2012
    Inventors: Young Kyu Cho, Judy Huang
  • Patent number: 8308896
    Abstract: A method of cleaning a bevel edge of a substrate in an etch processing chamber is provided. The method includes placing a substrate on a substrate support in a processing chamber. The method also includes flowing a cleaning gas through a gas feed located near a center of a gas distribution plate, disposed at a distance from the substrate support. The method further includes generating a cleaning plasma near a bevel edge of the substrate to clean the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the RF power source, the bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: November 13, 2012
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew D. Bailey, III
  • Publication number: 20120273130
    Abstract: Plasma processing chambers having internal Faraday shields with defined groove configurations, are defined. In one example, the chamber includes an electrostatic chuck for receiving a substrate and a dielectric window connected to a top portion of the chamber, where the dielectric window disposed over the electrostatic chuck. Also included is a Faraday shield disposed inside of the chamber and defined between the electrostatic chuck and the dielectric window. The Faraday shield includes an inner zone having an inner radius range, a middle zone having a middle radius range, an outer zone having an outer radius range, where the inner zone is adjacent to the middle zone, and the middle zone being adjacent to the outer zone.
    Type: Application
    Filed: August 4, 2011
    Publication date: November 1, 2012
    Inventors: John Drewery, Maolin Long, Alex Paterson
  • Publication number: 20120273459
    Abstract: A passing apparatus includes: upper and lower sealing rolls dealing with variations in a thickness of a proceeding strip, the upper and lower sealing rolls allowing the strip to pass; and a strip sealing unit dealing with variations in a width of the proceeding strip, the strip sealing unit cooperating with the upper and lower sealing rolls to seal the proceeding strip passing through a chamber in a state in which the strip sealing unit surrounds the proceeding strip.
    Type: Application
    Filed: December 24, 2010
    Publication date: November 1, 2012
    Applicant: POSCO
    Inventors: Dong-Yoeul Lee, Mun-Jong Eom, Kyoung-Bo Kim, Yong-Hwa Jung, Woo-Sung Jung, Young-Jin Kwak, Tae-Yeob Kim, Kyung-Hoon Nam, Sang-Cheol Lee, Sang-Hoon Park, Yang-Woo Nam
  • Publication number: 20120273131
    Abstract: Methods of forming arrays of small, densely spaced holes or pillars for use in integrated circuits are disclosed. Various pattern transfer and etching steps can be used, in combination with pitch-reduction techniques, to create densely-packed features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed patterns of crossing elongate features with pillars at the intersections. Spacers are simultaneously applied to sidewalls of both sets of crossing lines to produce a pitch-doubled grid pattern. The pillars facilitate rows of spacers bridging columns of spacers.
    Type: Application
    Filed: July 12, 2012
    Publication date: November 1, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: David H. Wells
  • Publication number: 20120247667
    Abstract: According to an embodiment, a plasma treatment apparatus includes a processing target holding unit and a plasma generation unit in a chamber. The processing target holding unit includes a supporting table on which a wafer is mounted, a ring-shaped insulator ring arranged at an outer periphery of the supporting table, and a protective film containing yttria for covering a side surface section and an upper surface section of the insulator ring. The protective film is formed thicker on the upper surface section than on the side surface section of the insulator ring.
    Type: Application
    Filed: September 14, 2011
    Publication date: October 4, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Hisashi HASHIGUCHI, Hideo Eto, Makoto Saito