With Workpiece Support Patents (Class 156/345.51)
  • Patent number: 9478447
    Abstract: Embodiments of substrate supports having a wire mesh plasma containment are provided herein. In some embodiments, a substrate support may include a plate comprising a first surface, an opposing second surface, a thickness bounded by the first and second surfaces, and a first perimetrical surface; a first heater element disposed between the first and second surfaces; a wire mesh disposed between the first and second surfaces; a ground connector mounted to a surface of the plate; at least one electrical connection between the wire mesh and the ground connector; and an elongate shaft comprising a first end and an opposite second end, wherein the plate second surface is mounted to the first end of the shaft.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: October 25, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Olkan Cuvalci, Gwo-Chuan Tzu
  • Patent number: 9410753
    Abstract: A method which changes the temperature control range of a heater of a substrate processing apparatus. The temperature control range of a heater is changed or extended by changing the flow rate of the coolant flowing through a coolant channel from a first flow rate to a second flow rate which is smaller than the first flow rate, to change a first thermal conductivity of a mounting table to a second thermal conductivity which is smaller than the first thermal conductivity. The upper limit of the temperature control range is lower than the heat resistant temperature of a material of an adhesive of the mounting table.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: August 9, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshiyuki Makabe, Taketoshi Okajo
  • Patent number: 9329497
    Abstract: A substrate table comprising a base and a plurality of burls that project from the base, wherein an upper surface of the burls is provided with a multilayer coating.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: May 3, 2016
    Assignee: ASML Netherlands B.V.
    Inventors: Bensely Albert, Rene Theodorus Petrus Compen
  • Patent number: 9318349
    Abstract: A plasma processing system for processing a substrate is described. The plasma processing system includes a bottom piece including a chuck configured for holding the substrate. The plasma processing system also includes an induction coil configured to generate an electromagnetic field in order to create a plasma for processing the substrate; and an optimized top piece coupled to the bottom piece, the top piece further configured for a heating and cooling system. Wherein, the heating and cooling system is substantially shielded from the electromagnetic field by the optimized top piece, and the optimized top piece can substantially be handled by a single person.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: April 19, 2016
    Assignee: Lam Research Corporation
    Inventors: Leonard J. Sharpless, Keith Comendant
  • Patent number: 9177846
    Abstract: Provided is a holding stage structure which holds a substrate and disposed in a process chamber that is vacuum-evacuatable and allows a predetermined process to be performed on the substrate therein. The holding stage structure includes: a holding stage body on which the substrate is placed; an elevation pin mechanism lowering the substrate on the holding stage body or raising the substrate from the holding stage body; and a stepped portion formed on the holding stage body so that a peripheral portion of a rear surface of the substrate placed on the holding stage body is exposed to a processing gas supplied into the process chamber.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: November 3, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kohei Kawamura, Yasuo Kobayashi, Toshihisa Nozawa, Kiyotaka Ishibashi
  • Patent number: 9153465
    Abstract: A substrate stage for mounting a substrate thereon includes a peripheral stage member on which a peripheral substrate portion of the substrate may be mounted, the peripheral substrate portion controlling a temperature of the peripheral substrate portion, a central stage member on which a central substrate portion of the substrate may be mounted, the central substrate portion controlling a temperature of the central substrate portion, and a support base that supports the peripheral stage member and the central stage member. A gap is formed between the peripheral stage member and the central stage member to keep the peripheral stage member and the central stage member from coming in contact with each other.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: October 6, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masaya Odagiri, Yusuke Muraki, Jin Fujihara
  • Patent number: 9133546
    Abstract: A system for forming a thin film on a substrate uses a plasma to activate at least one gaseous precursor in a plasma generator fluidly coupled with a reaction space. The plasma generator is operative to generate a plasma from at least a portion of the precursor gas with at least one pair of plasma electrodes, one plasma electrode having a non-native electrically conductive adlayer exhibiting property characteristics that cause the adlayer to be substantially conserved and chemically active with at least one of the gases present within the plasma generation region.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: September 15, 2015
    Assignee: LOTUS APPLIED TECHNOLOGY, LLC
    Inventors: Eric R. Dickey, Bryan Larson Danforth, Masato Kon
  • Patent number: 9116097
    Abstract: An ultrasound inspection system includes a part fixture at least partially submerged in a liquid bath. The part fixture includes at least one surface with a plurality of elongated protrusions extending from the surface and a fluid flow ingress aperture in the surface. A part to be inspected is secured on the fixture by circulating the liquid through a channel in the fixture such that the liquid flows around the part and into the ingress aperture. The part engages and is supported by the elongated protrusions, wherein the elongated protrusions are configured such that they do not interfere with the ultrasonic testing performed on the part.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: August 25, 2015
    Assignee: Spirit AeroSystems, Inc.
    Inventors: David Michael Gayle, Adam Joseph Donar
  • Patent number: 9108322
    Abstract: A system and method for monitoring forces on a substrate lifting apparatus. The system includes a platen cartridge with a platen and a movable lifting portion. The movable lifting portion includes a plurality of lifting arms coupled to a plurality of lift pins. A plurality of force sensing elements are associated with respective ones of the plurality of lifting arms and the plurality of lift pins. A controller receives signals from the plurality of force sensing elements, correlates the signals to respective forces applied to said plurality of lift pins. The correlated forces may indicate to the controller that an error condition exists, such as a stuck wafer, a broken wafer, a mis-positioned wafer, or a mechanical malfunction.
    Type: Grant
    Filed: June 14, 2013
    Date of Patent: August 18, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Richard V. Chisholm, Scott E. Peitzsch, Michael Esposito, Robert A. Poitras, Steven M. Anella, Daniel A. Hall, Scott C. Holden, Roger B. Fish
  • Patent number: 9096926
    Abstract: Embodiments of the invention generally provide a process kit for use in a physical deposition chamber (PVD) chamber. In one embodiment, the process kit provides adjustable process spacing, centering between the cover ring and the shield, and controlled gas flow between the cover ring and the shield contributing to uniform gas distribution, which promotes greater process uniformity and repeatability along with longer chamber component service life.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: August 4, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lara Hawrylchak, Kirankumar Savandaiah
  • Patent number: 9093261
    Abstract: A method of manufacturing a semiconductor device includes processing a semiconductor substrate using a plasma etching apparatus provided with a processing chamber. The semiconductor substrate has an uneasily-etched material formed thereabove and at least an upper layer film formed above the uneasily-etched material. The method includes etching the upper layer film after loading the semiconductor substrate into the processing chamber; forming a lift-off layer along an inner wall of the processing chamber with the semiconductor substrate loaded in the processing chamber; etching the uneasily-etched material and causing deposition of a reactive product of the uneasily-etched material along the lift-off layer; and cleaning, by removing the reactive product by removing the lift-off layer, the inner wall of the processing chamber after the semiconductor substrate is unloaded from the plasma etching apparatus.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: July 28, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiyuki Sasaki, Mitsuhiro Omura, Kazuhito Furumoto
  • Patent number: 9090046
    Abstract: To manufacture a ceramic article, a ceramic body comprising Al2O3 is roughened to a roughness of approximately 140 micro-inches (?in) to 240 ?in. The ceramic body is subsequently cleaned and then coated with a ceramic coating. The ceramic coating comprises a compound of Y4Al2O9 (YAM) and a solid solution of Y2-xZrxO3. The ceramic coating is then polished.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: July 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Biraja P. Kanungo, Ren-Guan Duan, Sumit Agarwal, Dmitry Lubomirsky
  • Publication number: 20150147869
    Abstract: Method and Apparatus so configured for the fabrication of three-dimensional integrated devices. A crystalline substrate within an area of a donor semiconductor wafer is etched. The substrate side is located opposite a device layer and has a buried insulating layer and a substrate thickness. The etching removes at least a substantial portion of the crystalline substrate within the area such that the device layer and the buried insulating layer in the area is to conform to a pattern specific topology on an acceptor surface. The donor semiconductor wafer is supported with a supporting structure that allows the donor semiconductor wafer to flexibly conform to the pattern specific topology within at least a portion of the area after the etching to enable conformality and reliable bonding to the device surfaces of an acceptor wafer to form a three dimensional integrated device.
    Type: Application
    Filed: January 15, 2015
    Publication date: May 28, 2015
    Applicant: International Business Machines Corporation
    Inventors: Douglas C. LA TULIPE, JR., Sampath PURUSHOTHAMAN, James VICHICONTI
  • Patent number: 9039866
    Abstract: The present invention relates to a method of manufacturing a web of a plurality of conductive structures which may be used for example to produce an antenna, electronic circuit, photovoltaic module or the like. The method involved simultaneously patterning at least one pattern in a conductive layer using a plurality of registration marks. The registration marks serve to align and guide the creation of the plurality of conductive structures. Optical brighteners may also be utilized within the adhesive layer and the registration marks of the present invention in order to detect the location where conductive structures are to be placed.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: May 26, 2015
    Assignee: Avery Dennison Corporation
    Inventors: Ian J. Forster, Christian K. Oelsner, Robert Revels, Benjamin Kingston, Peter Cockerell, Norman Howard
  • Publication number: 20150140757
    Abstract: Methods of forming semiconductor devices are provided. A method of forming a semiconductor device includes forming preliminary trenches adjacent opposing sides of an active region. The method includes forming etching selection regions in portions of the active region that are exposed after forming the preliminary trenches. The method includes forming trenches by removing the etching selection regions. Moreover, the method includes forming a stressor in the trenches. Related apparatuses are also provided.
    Type: Application
    Filed: July 3, 2014
    Publication date: May 21, 2015
    Inventors: Jun-Suk Kim, Kee-Moon Chun
  • Patent number: 9028765
    Abstract: Porogen accumulation in a UV-cure chamber may be reduced by removing outgassed porogen by flowing a purge gas across a window through which a wafer is exposed to UV light. Porogens in the purge gas stream may, as they flow through the chamber and into an exhaust baffle, deposit on surfaces within the chamber, including on the exhaust baffle. The exhaust baffle may have particular features that cause such porogen deposition to be more uniformly distributed across the exhaust baffle, thus reducing the amount of time that may be required to fully clean the baffle of accumulated porogens during a cleaning process.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Lisa Marie Gytri, Stephen Yu-Hong Lau, James Forest Lee
  • Patent number: 9028646
    Abstract: A bonded assembly to reduce particle contamination in a semiconductor vacuum chamber such as a plasma processing apparatus is provided, including an elastomeric sheet adhesive bond between mating surfaces of a component and a support member to accommodate thermal stresses. The elastomeric sheet comprises a silicone adhesive to withstand a high shear strain of ?800% at a temperature range between room temperature and 300° C. such as heat curable high molecular weight dimethyl silicone with optional fillers. The sheet form has bond thickness control for parallelism of bonded surfaces. The sheet adhesive may be cut into pre-form shapes to conform to regularly or irregularly shaped features, maximize surface contact area with mating parts, and can be installed into cavities. Installation can be manually, manually with installation tooling, or with automated machinery. Composite layers of sheet adhesive having different physical properties can be laminated or coplanar.
    Type: Grant
    Filed: April 24, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Tom Stevenson, Victor Wang
  • Patent number: 9028613
    Abstract: A rotating type thin film deposition apparatus having an improved structure that allows continuous deposition, and a thin film deposition method used by the rotating type thin film deposition apparatus are provided. The rotating type thin film deposition apparatus includes a deposition device; a circulation running unit that runs a deposition target on a circulation track via a deposition region of the deposition device; and a support unit that supports the deposition target and moves along the circulation track. Thin layers can be precisely and uniformly formed on the entire surface of a deposition target, and since deposition is performed while a plurality of deposition targets move along a caterpillar track, a working speed is faster compared to a method involving a general reciprocating motion, and the size of the thin film deposition apparatus can be reduced.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: May 12, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jin-Kwang Kim, Sang-Joon Seo, Seung-Hun Kim
  • Publication number: 20150122776
    Abstract: A dry etching apparatus plasma processes a wafer held by a carrier having a frame and an holding sheet. A electrode unit of a stage includes an electrostatic chuck. Adjacent to an upper surface of the electrostatic chuck, a first electrostatic attraction electrode and a second electrostatic attraction electrode are incorporated. The first electrostatic attraction electrode is of unipolar type and electrostatically attracts the wafer via the holding sheet. The second electrostatic electrode is of bipolar type and electrostatically attracts the frame via the holding sheet as well as a holding sheet between the wafer and the frame. Both of plasma processing performance and electrostatic attraction performance are improved.
    Type: Application
    Filed: October 27, 2014
    Publication date: May 7, 2015
    Inventor: Shogo OKITA
  • Publication number: 20150114567
    Abstract: A focus ring to be detachably attached to a top surface of an outer peripheral portion of a mounting table in a processing chamber, includes: an annular main body having a back surface to be attached to the top surface of the outer peripheral portion of the mounting table. And a thermally conductive sheet fixed to the annular main body, the thermally conductive sheet being interposed between the annular main body and the top surface of the outer peripheral portion of the mounting. The thermally conductive sheet is fixed as one unit to the annular main body by coating an unvulcanized rubber on one surface of the thermally conductive sheet, bringing said one surface into contact with the annular main body, and heating the thermally conductive sheet and the annular main body to vulcanize and to adhere the thermally conductive sheet to the annular main body.
    Type: Application
    Filed: October 27, 2014
    Publication date: April 30, 2015
    Applicants: Greene, Tweed Technologies, Inc., TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki NAGAYAMA, Naoyuki SATOH, Masahiko OKA, Yasuyuki MATSUOKA
  • Publication number: 20150114930
    Abstract: A plasma processing method of the present disclosure includes attaching a Si-containing material or a N-containing material to an electrostatic chuck that is provided in a processing container and attached with a reaction product containing C and F, in a state where a workpiece is not mounted on the electrostatic chuck; adsorbing the workpiece by the electrostatic chuck attached with the Si-containing material or the N-containing material when the workpiece is carried into the processing container; processing the workpiece with plasma; and separating the workpiece processed with plasma from the electrostatic chuck attached with the Si-containing material or the N-containing material.
    Type: Application
    Filed: October 29, 2014
    Publication date: April 30, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ryo NONAKA, Masanori SATO, Natsuki YABUMOTO, Takamitsu TAKAYAMA, Akitoshi HARADA, Junichi SASAKI, Hidetoshi HANAOKA
  • Patent number: 9017483
    Abstract: There is provided a susceptor for a vapor phase epitaxial growth device, by which skidding at the time of loading a silicon wafer is prevented and the wafer can be loaded at a fixed position of the susceptor: wherein a ring-shaped groove having sloping planes widening toward a surface of the susceptor are formed on the outermost circumference of the bottom surface; and gas release openings penetrating through to the back surface of the susceptor are formed, each having a sectional area of 2.0 to 3.0 mm2 and a ratio of all opening areas is 0.25 to 0.5% on the bottom surface.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: April 28, 2015
    Assignees: Sumco Corporation, Sumco Techxiv Corp.
    Inventors: Takashi Fujikawa, Masayuki Ishibashi, Kazuhiro Iriguchi, Kouhei Kawano
  • Patent number: 9017484
    Abstract: A susceptor includes a ceramic substrate having a wafer-placing surface; a first circular RF electrode buried in the ceramic substrate; and a second circular RF electrode buried in the ceramic substrate at a depth different from the depth of the first RF electrode. The second RF electrode has a larger diameter than the first RF electrode. The second RF electrode has a plurality of holes with an opening area of 9.42 to 25.13 mm2 distributed in a portion overlapping the first RF electrode in a plan view of the ceramic substrate. The electrode width between the holes is 3 to 7 mm.
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: April 28, 2015
    Assignee: NGK Insulators, Ltd.
    Inventor: Noboru Kajihara
  • Patent number: 9018065
    Abstract: A method and apparatus are provided for recessing a channel region of the PFET and epitaxially growing channel SiGe in the recessed region inside of a horizontally oriented processing furnace. Embodiments include forming an n-channel region and a p-channel region in a front side of a wafer and at least one additional wafer, the n-channel and p-channel regions corresponding to locations for forming an NFET and a PFET, respectively; placing the wafers inside a horizontally oriented furnace having a top surface and a bottom surface, with the wafers oriented vertically between the top and bottom surfaces; recessing the p-channel regions of the wafers inside the furnace; and epitaxially growing cSiGe without hole defects in the recessed p-channel regions inside the furnace.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Joanna Wasyluk, Yew Tuck Chow, Stephan Kronholz, Lindarti Purwaningsih, Ines Becker
  • Patent number: 9011602
    Abstract: An aspect of the present invention is drawn to a device for use with an electrostatic chuck having a top surface and a bottom surface, the top surface being separated from the bottom surface by a width, the electrostatic chuck additionally having a hole therein, the hole having a first width at the top surface and having a second width at the bottom surface, the first width being less than the second width, the top surface being capable of having wafer disposed thereon. The device includes a pin, a shaft, a neck portion and an outer housing portion. The pin has a pin width less than the first width. The shaft has a pin-holding portion, an end portion and a central portion disposed between the pin-holding portion and the end portion. The central portion has a first bearing portion. The outer housing portion has a first end and a second end and includes a second bearing portion. The shaft is disposed within the outer housing portion and is moveable relative to the outer housing portion.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: April 21, 2015
    Assignee: Lam Research Corporation
    Inventor: Fangli J. Hao
  • Patent number: 9011637
    Abstract: A plasma processing apparatus and a method of manufacturing a semiconductor device which can prevent a discharge from occurring between a substrate such as a semiconductor wafer or the like, and a base material of a lower electrode or a peripheral structure of the base material, and can improve yield and productivity. The plasma processing apparatus includes a processing chamber, a lower electrode, an upper electrode, and a plurality of lifter pins for supporting a substrate to be processed. Each of the lifter pins includes a pin body part and a lid part which is disposed on a top portion of the pin body part and has an outer diameter greater than an outer diameter of the pin body part.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: April 21, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Yamamoto
  • Patent number: 9003642
    Abstract: The present invention describes a method of and an apparatus for including: separating magnets and corresponding target plates; separating a lower support assembly from an upper retainer assembly of a thin-wafer handling system; and inserting or removing a thin wafer from the thin-wafer handling system.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: April 14, 2015
    Assignee: Intel Corporation
    Inventors: Jeffrey Wienrich, Charles Singleton
  • Patent number: 8999106
    Abstract: The present invention generally provides methods and apparatus for controlling edge performance during process. One embodiment of the present invention provides an apparatus comprising a chamber body defining a process volume, a gas inlet configured to flow a process gas into the process volume, and a supporting pedestal disposed in the process volume. The supporting pedestal comprises a top plate having a substrate supporting surface configured to receive and support the substrate on a backside, and an edge surface configured to circumscribe the substrate along an outer edge of the substrate, and a height difference between a top surface of the substrate and the edge surface is used to control exposure of an edge region of the substrate to the process gas.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: April 7, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Johanes F. Swenberg, Hanh D. Nguyen, Son T. Nguyen, Roger Curtis, Philip A. Bottini, Michael J. Mark
  • Publication number: 20150090690
    Abstract: A fiber-end surface structuring chamber or system having a main body with multiple ports including a fiber-holder port, a process port that is either a stamp/shim holder port or a plasma etching enabler port, an evacuation port, a gas delivery port, and one or more observation ports, where the fiber-end surface structuring system forms structures directly into the end of the fiber to enhance transmission of light over a wide range of wavelengths and increase the laser damage threshold.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 2, 2015
    Inventors: Jasbinder S. Sanghera, Catalin M. Florea, Ishwar D. Aggarwal
  • Publication number: 20150083332
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Application
    Filed: December 5, 2014
    Publication date: March 26, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akihiro KIKUCHI, Satoshi KAYAMORI, Shinya SHIMA, Yuichiro SAKAMOTO, Kimihiro HIGUCHI, Kaoru OOHASHI, Takehiro UEDA, Munehiro SHIBUYA, Tadashi GONDAI
  • Publication number: 20150083331
    Abstract: Disclosed herein is a semiconductor manufacturing apparatus including a transfer chamber provided with a substrate moving device to move substrates, a load lock chamber to align the substrates and to load and unload the substrates into and out of the transfer chamber, and at least one process chamber to process the substrates transferred from the transfer chambers. Each of the at least one process chamber includes a chamber provided with a substrate entrance formed on a side surface thereof, a substrate support provided within the chamber such that at least two substrates are disposed on the substrate support, and at least one divider provided within the chamber to align the at least two substrates.
    Type: Application
    Filed: November 28, 2014
    Publication date: March 26, 2015
    Inventors: Yong Hyun LEE, Myung Jin LEE, An Ki CHA
  • Patent number: 8986453
    Abstract: The invention relates to a device for coating substrates having a process chamber (1) disposed in a reactor housing and a two-part, substantially cup-shaped susceptor (2, 3) disposed therein, forming an upper susceptor part (2) with the cup floor thereof having a flat plate (2?) and a lower susceptor part (3) with the cup side walls thereof, the outer side (4) of the plate (2?) of the upper susceptor part (2) facing upwards toward the process chamber (1) and forming a contact surface for at least one substrate, the upper susceptor part (2) contacting a front edge (3?) of the lower susceptor part (3) at the edge of said upper susceptor part (2), the lower susceptor part (3) being supported by a susceptor carrier (6), and heating zones (A, B, C) for heating the upper susceptor part (2) being disposed below the plate (2?).
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: March 24, 2015
    Assignee: Aixtron Inc.
    Inventors: Johannes Käppeler, Adam Boyd, Victor Saywell, Jan Mulder, Olivier Feron
  • Patent number: 8980046
    Abstract: A top plate assembly is positioned above and spaced apart from the substrate support, such that a processing region exists between the top plate assembly and the substrate support. The top plate assembly includes a central plasma generation microchamber and a plurality of annular-shaped plasma generation microchambers positioned in a concentric manner about the central plasma generation microchamber. Adjacently positioned ones of the central and annular-shaped plasma generation microchambers are spaced apart from each other so as to form a number of axial exhaust vents therebetween. Each of the central and annular-shaped plasma generation microchambers is defined to generate a corresponding plasma therein and supply reactive constituents of its plasma to the processing region between the top plate assembly and the substrate support.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: March 17, 2015
    Assignee: Lam Research Corporation
    Inventors: Akira Koshiishi, Peter L. G. Ventzek, Jun Shinagawa, John Patrick Holland
  • Patent number: 8980044
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer evaporators inside respective inner and outer zones of the electrostatic chuck and a refrigeration loop having respective inner and cuter expansion valves for controlling flow of coolant through the inner and outer evaporators respectively. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: March 17, 2015
    Assignee: BE Aerospace, Inc.
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20150072533
    Abstract: Provided is a method of etching a silicon oxide film, which includes supplying a mixture gas of a halogen element-containing gas and a basicity gas onto a surface of the silicon oxide film; modifying the silicon oxide film to produce a reaction product; and heating the reaction product to remove the reaction product. Modifying the silicon oxide film and heating the reaction product are performed using one chamber. In heating the reaction product, the reaction product is selectively heated by a heating unit.
    Type: Application
    Filed: September 8, 2014
    Publication date: March 12, 2015
    Inventors: Yusuke MURAKI, Shigeru KASAI, Tomohiro SUZUKI
  • Publication number: 20150059980
    Abstract: In plasma processing, damage on a cover is prevented while thermal effect on an annular frame is suppressed. Plasma processing is applied to a substrate held by a carrier including an annular frame and a holding sheet. There are provided a chamber having a decompressible internal space, a plasma source for generating plasma in the chamber, a stage that is provided in the chamber and places the carrier thereon, and a cover that is placed above the stage to cover the holding sheet and the frame, and has a window penetrating through the thickness of the cover. The cover is made of a material having a high thermal conductivity, and a front face exposed to plasma, at least on the side of the window of the cover, is covered with a protect part made of a material having a low reactivity with plasma.
    Type: Application
    Filed: August 28, 2014
    Publication date: March 5, 2015
    Inventors: Shogo OKITA, Mitsuhiro OKUNE
  • Patent number: 8968474
    Abstract: A substrate processing apparatus includes: a chamber including a body having an open portion and a door for blocking the open portion; a substrate supporter connected to the door; and a door operating means including a rotation shaft for straightly moving and rotating the door, the door and the body separated in parallel from each other by straightly moving the door.
    Type: Grant
    Filed: September 25, 2011
    Date of Patent: March 3, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kun-Young Lim, Do-Hyung Kim, Ju-Ill Lee
  • Patent number: 8956498
    Abstract: A method for removing material from surfaces of at least a portion of at least one recess or at least one aperture extending into a surface of a substrate includes pressurizing fluid so as to cause the fluid to flow into the at least one recess or the at least one aperture. The fluid may be pressurized by generating a pressure differential across the substrate, which causes the fluid to flow into or through the at least one aperture or recess. Apparatus for pressurizing fluid so as to cause it to flow into or through recesses or apertures in a substrate are also disclosed.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: February 17, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Ross S. Dando
  • Publication number: 20150041062
    Abstract: An apparatus for plasma processing a wafer is provided. A bottom plate is provided. A tubular chamber wall with a wafer aperture is adjacent to the bottom plate. A bottom removable seal provides a vacuum seal between the bottom plate and the tubular chamber wall at a first end of the tubular wall. A top plate is adjacent to the tubular chamber wall. A top removable seal provides a vacuum seal between a second end of the tubular wall and the top plate. A vertical seal is provided, where a vertical movement of the tubular wall allows the vertical seal to create a seal around the wafer aperture. A bottom alignment guide aligns the tubular chamber wall with the bottom plate. A top alignment guide aligns the top plate with the tubular chamber wall. A wafer chuck is disposed between the bottom plate and the top plate.
    Type: Application
    Filed: August 12, 2013
    Publication date: February 12, 2015
    Applicant: Lam Research Corporation
    Inventors: Michael C. KELLOGG, Daniel A. BROWN
  • Publication number: 20150044878
    Abstract: A semiconductor substrate processing system includes a chamber that includes a processing region and a substrate support. The system includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly includes first and second sets of plasma microchambers each formed into the lower surface of the top plate assembly. A first network of gas supply channels are formed through the top plate assembly to flow a first process gas to the first set of plasma microchambers to be transformed into a first plasma. A set of exhaust channels are formed through the top plate assembly. The second set of plasma microchambers are formed inside the set of exhaust channels. A second network of gas supply channels are formed through the top plate assembly to flow a second process gas to the second set of plasma microchambers to be transformed into a second plasma.
    Type: Application
    Filed: October 28, 2014
    Publication date: February 12, 2015
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Richard Gottscho
  • Publication number: 20150041433
    Abstract: Internal components of plasma reactors are composed of a toleratable, ceramic filled plasma-useful polymer such as a high temperature engineering thermoplastic, preferably a polyamideimide or polybenzimidazole. The parts exhibit a low erosion rate upon exposure to plasma at low pressure.
    Type: Application
    Filed: April 10, 2012
    Publication date: February 12, 2015
    Applicant: QUADRANT EPP AG
    Inventors: Scott Howard Williams, Richard William Campbell, Stephan Glander
  • Patent number: 8951347
    Abstract: A film deposition apparatus is provided with a gas nozzle in which ejection holes that eject a reaction gas are formed along a longitudinal direction of the gas nozzle, and a flow regulation member that protrudes from the gas nozzle in either one of upstream and downstream directions of a rotation direction of a turntable. In such a configuration, a separation gas flowing from an upstream side of the rotation direction to the gas nozzle is restricted from flowing between the gas nozzle and the turntable on which a substrate is placed, and the reaction gas flowing upward from the turntable is restricted by the separation gas, thereby impeding a reaction gas concentration in a process area from being lowered.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Yasushi Takeuchi
  • Patent number: 8951352
    Abstract: A manufacturing apparatus and an electrode for use with the manufacturing apparatus are provided for deposition of a material on a carrier body. Typically, the carrier body has a first end and a second end spaced from each other. A socket is disposed at each end of the carrier body. The manufacturing apparatus includes a housing that defines a chamber. At least one electrode is disposed through the housing with the electrode at least partially disposed within the chamber for coupling to the socket. The electrode has an exterior surface having a contact region that is adapted to contact the socket. An exterior coating is disposed on the exterior surface of the electrode, outside of the contact region. The exterior coating has an electrical conductivity of at least 9×106 Siemens/meter and a corrosion resistance that is higher than silver in a galvanic series that is based upon room temperature sea water as an electrolyte.
    Type: Grant
    Filed: April 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Hemlock Semiconductor Corporation
    Inventors: David Hillabrand, Theodore Knapp
  • Patent number: 8950046
    Abstract: A method for disassembling a low-pressure process apparatus. The method provide a transmission device and a low-pressure process apparatus; and moves the housing to a transmission device in a first horizontal direction via the first roller set.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: February 10, 2015
    Assignee: AU Optronics Corp.
    Inventor: Ting-Hui Huang
  • Patent number: 8951351
    Abstract: Methods and apparatus for reducing autodoping and backside defects on a substrate during epitaxial deposition processes are provided herein. In some embodiments, an apparatus for reducing autodoping and backside defects on a substrate includes a substrate support ring having a substrate holder structure configured to support the substrate in a position for processing along an edge defined by the backside of the substrate and a sidewall of the substrate or along a plurality of discrete points on or proximate to the edge; and a spacer ring for positioning the substrate support ring above a susceptor plate to define a substrate gap region between the susceptor plate and the backside of the substrate, the spacer ring comprising a plurality of openings formed therethrough that facilitate passage of a gas into and out of the substrate gap region.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: February 10, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Kailash Kiran Patalay, Craig Metzner, Jean Vatus
  • Publication number: 20150034245
    Abstract: An inventive substrate treatment method includes a silylation step of supplying a silylation agent to a substrate, and an etching step of supplying an etching agent to the substrate after the silylation step. The method may further include a repeating step of repeating a sequence cycle including the silylation step and the etching step a plurality of times. The cycle may further include a rinsing step of supplying a rinse liquid to the substrate after the etching step. The cycle may further include a UV irradiation step of irradiating the substrate with ultraviolet radiation after the etching step. The method may further include a pre-silylation or post-silylation UV irradiation step of irradiating the substrate with the ultraviolet radiation before or after the silylation step.
    Type: Application
    Filed: October 16, 2014
    Publication date: February 5, 2015
    Inventor: Akio HASHIZUME
  • Patent number: 8945413
    Abstract: Etching is performed through the following process. A substrate is loaded into a processing chamber and mounted on a mounting table therein. Then, in the state where a ring member at least a surface of which is made of a same material as a main component of an etching target film is provided to surround the substrate, a processing gas is injected in a shower-like manner from a gas supply unit oppositely facing the substrate and the etching target film is etched by using a plasma of the processing gas; and evacuating the inside of the processing chamber through an exhaust path. Through this process, unbalanced distribution of plasma active species in the vicinity of a circumferential edge portion of the substrate can be suppressed.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Ayuta Suzuki, Songyun Kang, Tsuyoshi Moriya, Nobutoshi Terasawa, Yoshiaki Okabe
  • Patent number: 8940097
    Abstract: Provided is a vertical heat treatment apparatus which performs a film-forming process for substrates by supplying a film-forming gas to a plurality of substrates loaded onto a substrate supporter. The substrate supporter is rotated around an inclination axis, and the apparatus includes: a plurality of main holders which are provided at every reception position of the substrates in the substrate supporter and respectively supports the peripheries of the substrates at positions separated from each other in the circumferential direction; and first and second auxiliary holders which are located to be separated from the main holders in the circumferential direction and whose tops are lower than those of the main holders. Each substrate alternates between a position supported by the first auxiliary holder and the main holders and a position supported by the second auxiliary holder and the main holders every rotation of the substrate supporter.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Kazuhide Hasebe
  • Publication number: 20150024517
    Abstract: A plasma etch tool includes a wafer chuck with a chuck base and at least one functional component layer attached to the chuck base. A perimeter of the functional component layer has a polymer material permanently attached to it that extends to within 2 millimeters of a top surface of the chuck. The top surface of the wafer chuck contacts a bottom surface of a semiconductor wafer during an etch process for forming an integrated circuit. The polymer material is protected from an etch ambient by a plasma etcher chuck band installed around the perimeter of the functional component layer, extending over a portion of the chuck base. An integrated circuit may be formed by installing the plasma etcher chuck band on the chuck of the plasma etch tool, and subsequently performing an etch process in the plasma etch tool on a semiconductor wafer containing the partially formed integrated circuit.
    Type: Application
    Filed: July 11, 2014
    Publication date: January 22, 2015
    Inventor: John Christopher SHRINER
  • Publication number: 20150007941
    Abstract: A plasma processing apparatus includes a process chamber housing defining a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate plasma in the process chamber, and a biasing system. The biasing system is configured to bias the platen with a negatively biased DC signal to attract ions from the plasma towards the workpiece during a first processing time interval and configured to bias the platen with a positively biased DC signal to repel ions from the platen towards interior surfaces of the process chamber housing during a cleaning time interval. The cleaning time interval is separate from the first processing time interval and occurs after the first processing time interval.
    Type: Application
    Filed: September 24, 2014
    Publication date: January 8, 2015
    Inventors: Bon-Woong Koo, Richard M. White