Insulator Or Dielectric Patents (Class 204/192.22)
  • Patent number: 8329002
    Abstract: Methods for depositing an amorphous vanadium oxide (VOx) film include vaporizing vanadium from a vanadium source while the a gas containing an oxygen species and a process modifying additive are in the chamber so as to deposit an amorphous VOx film on the substrate, where x>0. The process modifying additive includes a gas flowing into the chamber or vaporized material from a target source. The additive may stabilize the deposition rate of VOx, reduce resistivity, improve thickness control, and improve uniformity of thickness and resistivity. The thin film may be a nitrogen-enhanced, amorphous vanadium oxide (VOxNy) film formed on a substrate, where x>y>0, and the film contains at least 0.2 atomic % nitrogen. The film may be used in a device, such as a thermal or infrared sensor, or more particularly a bolometer.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: December 11, 2012
    Assignee: 4Wave, Inc.
    Inventors: Anthony Githinji, Sami C. Antrazi, David A. Baldwin
  • Publication number: 20120164439
    Abstract: The present invention relates to a heat dissipating substrate and a method for manufacturing the same. The heat dissipating substrate comprises a substrate and a ceramic layer with thermal conduction and electrical insulation disposed on the substrate. In addition, the ceramic thermally conductive and electrically insulating layer has a plurality of sheet structures stacked on each other. Because the plurality of sheet structures have a buffer space, the ceramic thermally conductive and electrically insulating layer is buffered during thermal expansion.
    Type: Application
    Filed: December 27, 2011
    Publication date: June 28, 2012
    Applicant: ULTRAPACK ENERGY CO., LTD.
    Inventor: YUNG SHENG HUANG
  • Publication number: 20120107606
    Abstract: An article includes a substrate made of aluminum or aluminum alloy, an insulating coating formed on the substrate, and an anticorrosive coating formed on the insulating coating. The insulating coating is composed of electrically insulating ceramic material or polymer. The anticorrosive coating is a ceramic coating formed by physical vapor deposition.
    Type: Application
    Filed: July 12, 2011
    Publication date: May 3, 2012
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD.
    Inventors: HSIN-PEI CHANG, WEN-RONG CHEN, HUANN-WU CHIANG, CHENG-SHI CHEN, MAN-XI ZHANG
  • Patent number: 8147657
    Abstract: A sputtering apparatus according to the present invention is provided with first to fourth targets. The first and the second targets are disposed so that their surfaces face each other. The third and the fourth targets are also disposed so that their surfaces face each other. When a dielectric film is formed, sputtering is alternately performed on the first and the second targets and on the third and the fourth targets. When sputtering is performed on two of the targets having surfaces that face each other, the remaining two targets function as a ground. As a result, abnormal discharges are inhibited.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: April 3, 2012
    Assignee: Ulvac, Inc.
    Inventors: Satoru Takasawa, Sadayuki Ukishima, Noriaki Tani, Satoru Ishibashi
  • Publication number: 20120073960
    Abstract: A magnetron sputtering apparatus includes a cathode electrode having a first surface and a second surface opposite to the first surface, a target attachable to the first surface of the cathode electrode, and a magnet unit which is adjacent to the second surface of the cathode electrode and forms a magnetic field on the target surface. The magnet unit includes a plurality of magnet pieces each having a first magnet member which is magnetized in a direction perpendicular to the target and is arranged with a magnetic pole end face oriented toward the target, and a second magnet member which is magnetized opposite to the first magnet member in the direction perpendicular to the target and is arranged in contact with the first magnet member with a magnetic pole end face being oriented toward the target.
    Type: Application
    Filed: September 1, 2011
    Publication date: March 29, 2012
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yohsuke SHIBUYA, Masayoshi Ikeda, Yasumi Sago
  • Patent number: 8105466
    Abstract: A biased pulse DC reactor for sputtering of oxide films is presented. The biased pulse DC reactor couples pulsed DC at a particular frequency to the target through a filter which filters out the effects of a bias power applied to the substrate, protecting the pulsed DC power supply. Films deposited utilizing the reactor have controllable material properties such as the index of refraction. Optical components such as waveguide amplifiers and multiplexers can be fabricated using processes performed on a reactor according to the present invention.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: January 31, 2012
    Assignee: SpringWorks, LLC
    Inventors: Hongmei Zhang, Mukundan Narasimhan, Ravi B. Mullapudi, Richard E. Demaray
  • Publication number: 20110318553
    Abstract: A process for manufacturing a transparent body for use in a touch panel is provided. The process includes: depositing a first transparent layer stack over a substrate with a first dielectric film, a second dielectric film, and a third dielectric film. The first and the third dielectric films have a low refractive index and the second dielectric film has a high refractive index. The process further includes depositing a transparent conductive film in a manner such that the first transparent layer stack and the transparent conductive film are disposed over the substrate in this order. At least one of the first dielectric film, the second dielectric film, the third dielectric film, or the transparent conductive film is deposited by sputtering of a rotatable target. Further thereto, a deposition apparatus for manufacturing a transparent body for use in a touch panel and a transparent body for use in a touch panel are provided.
    Type: Application
    Filed: July 2, 2010
    Publication date: December 29, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Hans-Georg LOTZ
  • Publication number: 20110284366
    Abstract: A coated article that can be used in applications such as insulating glass (IG) units, so that resulting IG units can achieve high visible transmission of at least 70% (e.g., when using clear glass substrates from 1.0 to 3.5 mm thick), combined with at least one of: (a) SHGC no greater than about 0.45, more preferably no greater than about 0.40; (b) SC no greater than about 0.49, more preferably no greater than about 0.46; (c) chemical and/or mechanical durability; (d) neutral transmissive color such that transmissive a* is from ?5.0 to 0 (more preferably from ?3.5 to ?1.5), and transmissive b* is from ?2.0 to 4.0 (more preferably from 1.0 to 3.0); and (e) neutral reflective color from the exterior of the IG unit (i.e., Rg/Rout) such that reflective a* is from ?3.0 to 2.0 (more preferably from ?2.0 to 0.5), and reflective b* is from ?5.0 to 1.0 (more preferably from ?4.0 to ?1.0).
    Type: Application
    Filed: July 28, 2011
    Publication date: November 24, 2011
    Applicants: C.R.V.C., Guardian Industries Corp.
    Inventors: Ronald E. Laird, Carole Laird, Uwe Kriltz
  • Patent number: 8025775
    Abstract: A vacuum plasma generator with an output for feeding a plasma discharge for treatment of workpieces in a vacuum chamber has a connection for the junction to AC voltage mains, a rectifier connected to a converter with a control input for the setting and/or regulation of the converter output voltage, and a controlled full bridge circuit connected to the converter output with a potential-free generator output, which transposes the converter output voltage into pulses of 1 to 500 kHz. A potential-isolating transformer is switched into the bridge for the galvanic decoupling of the generator output.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: September 27, 2011
    Assignee: Oerlikon Trading AG, Truebbach
    Inventors: Gerhard Tuymer, Andreas Schutze
  • Patent number: 8012315
    Abstract: A method of fabricating by co-sputtering deposition a lanthanoid aluminate film with enhanced electrical insulativity owing to suppression of deviation in composition of the film is disclosed. Firstly within a vacuum chamber, hold two separate targets, one of which is made of lanthanoid aluminate (LnAlO3) and the other of which is made of aluminum oxide (Al2O3). Then, transport and load a substrate into the vacuum chamber. Next, introduce a chosen sputtering gas into this chamber. Thereafter, perform sputtering of both the targets at a time to thereby form a lanthanoid aluminate film on the substrate surface. This film is well adaptable for use as ultra-thin high dielectric constant (high-k) gate dielectrics in highly miniaturized metal oxide semiconductor (MOS) transistors.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: September 6, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsunehiro Ino, Akira Takashima
  • Publication number: 20110209989
    Abstract: A physical vapor deposition apparatus includes a vacuum chamber having side walls, a cathode inside the vacuum chamber, the cathode configured to include a sputtering target, a radio frequency power supply configured to apply power to the cathode, an anode inside and electrically connected to the side walls of the vacuum chamber, a chuck inside and electrically isolated from the side walls of the vacuum chamber, the chuck configured to support a substrate, a clamp configured to hold the substrate to the chuck, wherein the clamp is electrically conductive, and an insulator configured to electrically isolate the substrate from the clamp.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Inventors: Youming Li, Jeffrey Birkmeyer
  • Patent number: 7959769
    Abstract: In accordance with the present invention, deposition of LiCoO2 layers in a pulsed-dc physical vapor deposition process is presented. Such a deposition can provide a low-temperature, high deposition rate deposition of a crystalline layer of LiCoO2 with a desired (101) or (003) orientation. Some embodiments of the deposition addresses the need for high rate deposition of LiCoO2 films, which can be utilized as the cathode layer in a solid state rechargeable Li battery. Embodiments of the process according to the present invention can eliminate the high temperature (>700° C.) anneal step that is conventionally needed to crystallize the LiCoO2 layer. Some embodiments of the process can improve a battery utilizing the LiCoO2 layer by utilizing a rapid thermal anneal process with short ramp rates.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: June 14, 2011
    Assignee: Infinite Power Solutions, Inc.
    Inventors: Hongmei Zhang, Richard E. Demaray, Bernd J. Neudecker
  • Publication number: 20110135910
    Abstract: In an information recording medium that has an information layer which includes a recording layer capable of undergoing phase transition, the recording layer is formed from a material that contains Sb and S, and has composition represented by the formula (1): SbxS100-x (atomic %) where suffix x represents the proportion in atomic % that satisfies a relationship of 50?x?98.
    Type: Application
    Filed: February 1, 2008
    Publication date: June 9, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Tomoyasu Takaoka, Takashi Nishihara, Rie Kojima
  • Publication number: 20110135955
    Abstract: The invention provides low-emissivity stacks being characterized by a low solar heat gain coefficient (SHGC), enhanced aesthetics, mechanical and chemical durability, and a tolerance for tempering or heat strengthening. The invention moreover provides low-emissivity coatings comprising, in order outward from the substrate a first dielectric layer; a first nucleation layer; a first Ag layer; a first barrier layer; a second dielectric layer; a second nucleation layer; a second Ag layer; a second barrier layer; a third dielectric layer; and optionally, a topcoat layer, and methods for depositing such coatings on substrates.
    Type: Application
    Filed: February 2, 2011
    Publication date: June 9, 2011
    Applicant: AGC FLAT GLASS NORTH AMERICA, INC.
    Inventors: Peter MASCHWITZ, Keith GRUBB, Dominique COSTER, Daniel DECROUPET
  • Publication number: 20110102968
    Abstract: In a multilayer structure and a method of forming the same, a conductive layer including a metal nitride and a dielectric layer positioned on a surface of the conductive layer and having a high dielectric constant. The metal nitride comprises one of niobium, vanadium and compositions thereof. Thus, the EOT and leakage current of the multilayer structure may be sufficiently improved.
    Type: Application
    Filed: July 16, 2010
    Publication date: May 5, 2011
    Inventors: Jae-Hyoung CHOI, Youn-Soo Kim, Jung-Hyeon Kim, Wan-Don Kim, Jae-Soon Lim, Sang-Yeol Kang
  • Patent number: 7910510
    Abstract: The present invention relates to dielectric ceramics, thin and/or thick layers produced therefrom and a method for the production thereof and the use of the dielectrics and of the thin and/or thick layers.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: March 22, 2011
    Assignee: Forschungszentrum Karlsruhe GmbH
    Inventors: Florian Paul, Jürgen Hausselt, Joachim Binder, Hans-Joachim Ritzhaupt-Kleissl, Andre Giere, Patrick Scheele, Rolf Jakoby
  • Publication number: 20100320520
    Abstract: To make it possible to significantly suppress the leakage current in a semiconductor device having a capacitor structure using a dielectric film. There is provided a composite oxide dielectric which is mainly composed of Zr, Al and O, and which has a composition ratio of Zr and Al in a range of (1?x):x where 0.01?x?0.15, and has a crystal structure. When the dielectric is set to have the Al composition in the above described range and is crystallized, the relative dielectric constant of the dielectric can be significantly increased. When the dielectric is used as a dielectric film of a capacitor of a semiconductor device, the leakage current of the capacitor can be significantly reduced.
    Type: Application
    Filed: February 4, 2008
    Publication date: December 23, 2010
    Inventors: Takashi Nakagawa, Toru Tatsumi, Nobuyuki Ikarashi, Makiko Oshida
  • Patent number: 7842168
    Abstract: The invention provides a method for producing a silicon oxide film, whereby a film having uniform optical constants such as refractive index, absorption coefficient, etc. can be formed continuously at a high deposition rate. A method for producing a silicon oxide film, which comprises depositing a silicon oxide film on a substrate by carrying out AC sputtering by using a sputtering target comprising silicon carbide and silicon with a ratio in number of atoms of C to Si being from 0.5 to 0.95, in an atmosphere containing an oxidizing gas, with an alternating current having a frequency of from 1 to 1,000 kHz.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: November 30, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Toru Ikeda, Takahiro Mashimo, Eiji Shidoji, Toshihisa Kamiyama, Yoshihito Katayama
  • Patent number: 7838133
    Abstract: In accordance with the present invention, deposition of perovskite material, for example barium strontium titanite (BST) film, by a pulsed-dc physical vapor deposition process or by an RF sputtering process is presented. Such a deposition can provide a high deposition rate deposition of a layer of perovskite. Some embodiments of the deposition address the need for high rate deposition of perovskite films, which can be utilized as a dielectric layer in capacitors, other energy storing devices and micro-electronic applications. Embodiments of the process according to the present invention can eliminate the high temperature (>700° C.) anneal step that is conventionally needed to crystallize the BST layer.
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: November 23, 2010
    Assignee: SpringWorks, LLC
    Inventors: Hongmei Zhang, Richard E. Demaray
  • Patent number: 7837838
    Abstract: The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to “implant” metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, Alex M. Paterson, Steven Hung, Patricia M. Liu, Tatsuya Sato, Valentin Todorow, John P. Holland
  • Publication number: 20100252419
    Abstract: A method of producing high capacity capacitors with a very large number of layers. Alternating layers of conductive and insulating materials are deposited by ion deposition without breaking a vacuum or inert gas chamber. For planar substrates, layer deposition may proceed simultaneously on both sides of the substrate and on multiple substrates. Continuous deposition may be used for round substrates. Inner layers of a device may have a microscopic thickness in a range of about 80 to 140 Angstroms for aluminum oxide and about 40 to 70 Angstroms for aluminum to create an atomic proximity effect to improve capacitance. Defects may be accommodated by self-healing and by creation of isolation islands.
    Type: Application
    Filed: February 2, 2010
    Publication date: October 7, 2010
    Inventor: Klaus Bollmann
  • Publication number: 20100244192
    Abstract: The present invention provides a dielectric film having a high permittivity and a high heat resistance. An embodiment of the present invention is a dielectric film (103) including a composite oxynitride containing an element A made of Hf, an element B made of Al or Si, and N and O, wherein mole fractions of the element A, the element B, and N expressed as B/(A+B+N) range from 0.015 to 0.095 and N/(A+B+N) equals or exceeds 0.045, and has a crystalline structure.
    Type: Application
    Filed: April 14, 2010
    Publication date: September 30, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takashi Nakagawa, Naomu Kitano, Toru Tatsumi
  • Publication number: 20100236628
    Abstract: A solar cell includes a first electrode located over a substrate, at least one p-type semiconductor absorber layer located over the first electrode, the p-type semiconductor absorber layer comprising a copper indium selenide (CIS) based alloy material, an n-type semiconductor layer located over the p-type semiconductor absorber layer, an insulating aluminum zinc oxide layer located over the n-type semiconductor layer, the insulating aluminum zinc oxide having an aluminum content of 100 ppm to 5000 ppm and a second electrode over the insulating aluminum layer, the second electrode being transparent and electrically conductive. The insulating aluminum zinc oxide having an aluminum content of 100 ppm to 5000 ppm, may be deposited by pulsed DC, non-pulsed DC, or AC sputtering from an aluminum doped zinc oxide having an aluminum content of 100 ppm to 5000 ppm.
    Type: Application
    Filed: March 17, 2009
    Publication date: September 23, 2010
    Inventors: Chris Schmidt, Bruce Hachtmann
  • Patent number: 7799181
    Abstract: In an optical recording method and apparatus of the present invention, a driving power is applied to a light source to control emission of a light beam to a recording layer of an optical storage medium, the driving power including a sequence of mark and space portions, each mark portion having a pulse width that corresponds to a multiple of a period T of a write clock. A multi-pulse waveform of each mark portion of the driving power includes a front-end portion, a multi-pulse portion and a tail-end portion, the front-end portion having a first pulse width t1 with a high-power write level Pw and starting from a middle-power erase level Pe, the multi-pulse portion including write pulses each having a second pulse width t2 with the write level Pw and a third pulse width t3 with a low-power base level Pb, the multi-pulse portion having a duty ratio z=t2/(t2+t3), and the tail-end portion having a fourth pulse width t4 with the base level Pb and ending at the erase level Pe.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: September 21, 2010
    Assignee: Ricoh Company, Ltd.
    Inventor: Yuki Nakamura
  • Publication number: 20100221885
    Abstract: The present invention provides a method of manufacturing a dielectric film having a high permittivity. An embodiment of the present invention is a method of manufacturing, on a substrate, a dielectric film including a metallic oxynitride containing an element A made of Hf or a mixture of Hf and Zr, an element B made of Al, and N and O. The manufacturing method includes: a step of forming a metallic oxynitride whose mole fractions of the element A, the element B, and N expressed as B/(A+B+N) has a range of 0.015?(B/A+B+N))?0.095 and N/(A+B+N) has a range of 0.045?(N/(A+B+N)) and a mole fraction O/A of the element A and O has a range expressed as 1.0<(O/A)<2.0, and having a noncrystalline structure; and a step of performing an annealing treatment at 700° C. or higher on the metallic oxynitride having a noncrystalline structure to form a metallic oxynitride including a crystalline phase with a cubical crystal incorporation percentage of 80% or higher.
    Type: Application
    Filed: April 16, 2010
    Publication date: September 2, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naomu Kitano, Takashi Nakagawa, Toru Tatsumi
  • Patent number: 7780820
    Abstract: A high performance TMR sensor is fabricated by incorporating a tunnel barrier having a Mg/MgO/Mg configuration. The 4 to 14 Angstroms thick lower Mg layer and 2 to 8 Angstroms thick upper Mg layer are deposited by a DC sputtering method while the MgO layer is formed by a NOX process involving oxygen pressure from 0.1 mTorr to 1 Torr for 15 to 300 seconds. NOX time and pressure may be varied to achieve a MR ratio of at least 34% and a RA value of 2.1 ohm-um2. The NOX process provides a more uniform MgO layer than sputtering methods. The second Mg layer is employed to prevent oxidation of an adjacent ferromagnetic layer. In a bottom spin valve configuration, a Ta/Ru seed layer, IrMn AFM layer, CoFe/Ru/CoFeB pinned layer, Mg/MgO/Mg barrier, CoFe/NiFe free layer, and a cap layer are sequentially formed on a bottom shield in a read head.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: August 24, 2010
    Assignee: Headway Technologies, Inc.
    Inventors: Tong Zhao, Kunliang Zhang, Hui-Chuan Wang, Yu-Hsia Chen, Min Li
  • Publication number: 20100206718
    Abstract: A method of physical vapor deposition includes applying a radio frequency signal to a cathode in a physical vapor deposition apparatus, wherein the cathode includes a sputtering target, electrically connecting a chuck in the physical vapor deposition apparatus to an impedance matching network, wherein the chuck supports a substrate, and wherein the impedance matching network includes at least one capacitor, and depositing material from the sputtering target onto the substrate.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 19, 2010
    Inventors: Youming Li, Jeffrey Birkmeyer, Takamichi Fujii, Takayuki Naono, Yoshi Hishinuma
  • Publication number: 20100210047
    Abstract: The present invention is intended to provide a method for manufacturing an organic EL device, which can form a film with less damage to the organic EL element during formation of the film including inorganic layers for sealing the organic EL element. When an organic EL element (20) which is composed of a pair of electrodes in which at least one of the electrodes being transparent or translucent, and an organic EL layer (22) which comprises a light-emitting layer held between the electrodes, and a sealing layer (30) which includes at least one layer of inorganic film being in contact with the organic EL element (20) and which seals the organic EL element (20) are formed on a substrate (10), a first sealing film (31) which is included in the sealing layer (30) and in contact with the organic EL element (20) is formed by the facing target sputtering method, and the other inorganic film which is included in the sealing layer (30) is formed by any method other than the facing target sputtering method.
    Type: Application
    Filed: July 18, 2008
    Publication date: August 19, 2010
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Makoto Sasaki, Shinichi Morishima, Norihito Ito
  • Publication number: 20100189912
    Abstract: A substrate holder for supporting an insulating substrate includes a conductive substrate holder main body having an opening, a first support member formed to protrude inside the opening from the inner periphery of the opening, and including a clamping member which supports one end portion of the insulating substrate, and a second support member including a clamping member which supports the other end portion of the insulating substrate, and is movable so as to protrude inside the opening or retract from inside the opening.
    Type: Application
    Filed: April 5, 2010
    Publication date: July 29, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Shinya Houman, Hiroshi Torii
  • Publication number: 20100133092
    Abstract: A sputtering method and a sputtering apparatus are provided in which a target is disposed being inclined relative to a substrate placed on a substrate-placing table so that the condition of d?D is satisfied, (d is the diameter of the substrate, and D is the diameter of the target), and the total number of rotations R of the substrate-placing table from the beginning of film-deposition on the substrate to the completion thereof becomes ten or more. Also the sputtering method and the sputtering apparatus are provided in which the rotational speed V of the substrate-placing table is controlled so that the total number of rotations R thereof satisfies the formula of 0.95×S?0.025?R?1.05×S+0.025 at R?10, (R is the total number of rotations of the substrate-placing table from the beginning of film-deposition on the substrate to the completion thereof, and S is the value of the number of total rotations R rounded off to integer).
    Type: Application
    Filed: January 8, 2010
    Publication date: June 3, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Kimiko Mashimo, Naomu Kitano, Koji Tsunekawa
  • Publication number: 20100116646
    Abstract: A sensing electrode consisting of electrically nonconductive underlay (1), made up of polymeric matter, with pH sensitive antimony layer (2) deposited onto, which is connected by means of a secondary conductor (3) with a measurement device (6) in a place, which is out of reach of the system, of which pH is measured. A pH sensitive antimony layer (2) of thickness from 1 micrometer to several millimeters is deposited onto a nonconductive underlay (1) by a method where a metal is evaporated under a vacuum—sputtering, magnetron sputtering, radiofrequency sputtering, diode plasma sputtering, cathodic arc evaporation, ion plating, ionization-assisted evaporation, ion implantation or laser alloying. A sensing electrode is, with benefit, a part of a measurement system, consisting of more sensing electrodes, where each of them is, by means of secondary conductor (3), connected with a measuring device (6) to which one reference electrode (5) is connected to.
    Type: Application
    Filed: March 31, 2008
    Publication date: May 13, 2010
    Inventor: Jitka Hanzalova
  • Publication number: 20100078315
    Abstract: The invention provides a microwave source to assist in sputtering deposition. Such a microwave source comprises a microstrip antenna that is attached to an end of a dielectric layer outside a sputtering target or cathode. The microstrip antenna comprising a dielectric coated metal strip radiates microwave between the sputtering cathode and a cathode dark space that is formed near the sputtering cathode. The microwave enhances plasma density in the cathode dark space. With the assistance of the microwave source, the sputtering target is able to operate at a lower pressure, a lower voltage and may yield higher deposition rates than without the microwave source. The target may have a generally circular or rectangular cross section. The microstrip may be of a curved strip such as a ring shape or a straight strip, depending upon the shape of the sputtering target.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 1, 2010
    Applicant: Applied Materials, Inc.
    Inventor: Michael W. Stowell
  • Patent number: 7686926
    Abstract: A multi-step process performed in a plasma sputter chamber including sputter deposition from the target and argon sputter etching of the substrate. The chamber includes a quadruple electromagnetic coil array coaxially arranged in a rectangular array about a chamber axis outside the sidewalls of a plasma sputter reactor in back of an RF coil within the chamber. The coil currents can be separately controlled to produce different magnetic field distributions, for example, between a sputter deposition mode in which the sputter target is powered to sputter target material onto a wafer and a sputter etch mode in which the RF coil supports the argon sputtering plasma. A TaN/Ta barrier is first sputter deposited with high target power and wafer bias. Argon etching is performed with even higher wafer bias. A flash step is applied with reduced target power and wafer bias.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: March 30, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Tza-Jing Gung, Xinyu Fu, Arvind Sundarrajan, Edward P. Hammond, IV, Praburam Gopalraja, John C. Forster, Mark A. Perrin, Andrew S. Gillard
  • Publication number: 20100046084
    Abstract: An electro-wetting device is provided that can prevent deterioration of withstand voltage characteristics due to use of a high-dielectric constant film, thereby ensuring an insulating structure having high reliability. The electro-wetting device includes a conductive first liquid, an insulating second liquid, a transparent substrate and a cover body defining a liquid room for accommodating therein the first and second liquids, an electrode layer formed on a surface, on the liquid room side, of the transparent substrate, and an insulating layer formed on a surface of the electrode layer. The insulating layer has a lamination structure of a first insulating film made of an insulating inorganic crystalline material, and a second insulating film made of an insulating inorganic amorphous material, which results in that surface irregularities of the first insulating film is relaxed by the second insulating film, and thus the low voltage drive is possible.
    Type: Application
    Filed: February 4, 2008
    Publication date: February 25, 2010
    Applicant: SONY CORPORATION
    Inventors: Shina Kirita, Toshitaka Kawashima
  • Publication number: 20100039481
    Abstract: A film depositing apparatus comprises: a process chamber; a target holder provided in the process chamber for holding a target; a substrate holder for supporting a deposition substrate such that the deposition substrate faces the target holder in the process chamber; a power supply for supplying electric power between the target holder and the substrate holder to generate plasma in the process chamber; and an anode provided between the target holder and the substrate holder for capturing ions and/or electrons in the plasma being generated within the process chamber, wherein the anode includes: a cylindrical member provided so as to surround an outer periphery of a side of the substrate holder that faces the target holder; and at least one annular plate member attached to an inside wall of the cylindrical member, the plate member having a central opening larger than a surface of the deposition substrate.
    Type: Application
    Filed: August 12, 2009
    Publication date: February 18, 2010
    Inventors: Takamichi Fujii, Takayuki Naono
  • Publication number: 20100032290
    Abstract: A method for forming a chalcogenide film within a contact hole formed in an insulating layer on a substrate, includes: preparing a target having a composition the same as that of the chalcogenide film; setting a ratio L/T of a distance L with respect to a diameter T of the target to a value not less than 0.5 and not more than 1.5, where the diameter of the target is T (m) and the distance between the target and the substrate is L (m); and forming a chalcogenide film within the contact hole by a sputtering process in which a bias electric power is applied to the substrate and a sputtering electric power is applied to the target.
    Type: Application
    Filed: January 24, 2008
    Publication date: February 11, 2010
    Applicant: ULVAC, INC.
    Inventors: Shin Kikuchi, Yutaka Nishioka, Isao Kimura, Takehito Jimbo, Koukou Suu
  • Patent number: 7572658
    Abstract: A liquid crystal display panel manufacturing method includes forming at least one thin film on a flexible plastic substrate by sputtering at a temperature of about 80° C. to about 150° C. Sputtering can be in a chamber evacuated to about 1×10?6 Torr to about 9×10?6 Torr. Sputtering targets and films sputtered on substrates include materials that are conductive or insulating, organic or inorganic, metal or metal alloy, reflective metal or transparent conductive, or combinations thereof. Thin film and pattern formation employ photolithography with laminated or liquid films. Films may be sputtered on opposing sides of a substrate and may be multilayered.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: August 11, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Jin Kim
  • Publication number: 20090195865
    Abstract: The invention relates to an infrared radiation reflecting layer system for panes of glass and similar, the properties of said layer system being maintained even after heat treatment, for example, for bending or hardening the panes of glass. Silver is used as the infrared radiation reflecting layer. A combination of NiCrOx and Zn(Al)Ox is used as a lower-layer blocker for the silver. Also, a stoichiometric layer is also used as a pre-blocker layer. A specific work point is selected for a first dielectric layer of TiOxNy. Harmonisation of the thickness of the layers and the degrees of oxidation of NiCrOx and ZnAlOx as double lower-layer blockers and the work point of the TiOxNy-base layer are important for the temperability of the coating.
    Type: Application
    Filed: February 15, 2007
    Publication date: August 6, 2009
    Applicant: APPLIED MATERIALS GMBH & CO. KG
    Inventors: Gerd Kleideiter, Michael Geisler, Udo Schreiber, Sven Schramm
  • Patent number: 7566438
    Abstract: An object of the present invention is to provide an oxygen reduction electrode having excellent oxygen reduction properties (oxygen reduction catalyst abilities). The present invention encompasses: (1) A method for manufacturing a nanostructured manganese oxide having a dendritic structure formed from an agglomeration of primary particles, wherein the method comprises the steps of: removing components from a target plate that comprises one or more kinds of manganese oxides by irradiating the target plate with laser light in an atmosphere comprising a mixed gas of inert gas and oxygen gas, the content of the oxygen gas in the mixed gas being no less than 0.05% but no more than 0.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: July 28, 2009
    Assignee: Panasonic Corporation
    Inventors: Nobuyasu Suzuki, Yasunori Morinaga, Hidehiro Sasaki, Yuka Yamada
  • Publication number: 20090183573
    Abstract: A high-temperature pressure sensor element for power units includes a substrate, in which an interior space is developed, a deformable membrane, which separates the interior space from the exterior space in order to deform when the exterior pressure changes, and a strain measuring element, which is arranged on the membrane, for measuring the deformation of the membrane. The substrate, the membrane, and the strain measuring element are manufactured from the same high-temperature-stable material, such as an alloy. By way of example a nickel base alloy may be used. A component for a power unit, such as a turbine blade for an airplane or rocket engine, includes an integrated high-temperature pressure sensor element of this type.
    Type: Application
    Filed: March 1, 2007
    Publication date: July 23, 2009
    Applicant: Astrium GmbH
    Inventors: Soeren Fricke, Gerhard Mueller, Alois Friedberger, Eberhard Rose, Thomas Ziemann, Ulrich Schmid, Dimitri Telitschkin, Stefan Ziegenhagen
  • Publication number: 20090173622
    Abstract: A method and apparatus for sputter depositing an insulation layer onto a surface of a cavity formed in a substrate and having a high aspect ratio is provided. A target formed at least in part from a material to be included in the insulation layer and the substrate are provided in a substantially enclosed chamber defined by a housing. A plasma is ignited within the substantially enclosed chamber and a magnetic field is provided adjacent to a surface of the target to at least partially contain the plasma adjacent to the surface of the target. A voltage is rapidly increased to repeatedly establish high-power electric pulses between a cathode and an anode. An average power of the electric pulses is at least 0.1 kW, and can optionally be much greater. An operational parameter of the sputter deposition is controlled to promote sputter depositing of the insulation layer in a transition mode between a metallic mode and a reactive mode.
    Type: Application
    Filed: December 5, 2008
    Publication date: July 9, 2009
    Applicant: OC OERLIKON BALZERS AG
    Inventors: Juergen Weichart, Stanislav Kadlec, Mohamed Elghazzali
  • Publication number: 20090166188
    Abstract: Method for producing low-conductivity layers, especially insulating layers, on at least one workpiece by vacuum coating, wherein an electrical arc discharge is operated between at least one anode and one cathode of an arc source in an atmosphere containing reactive gas, and only a small external magnetic field essentially perpendicular to the target surface or none at all is generated at the surface of a target electrically connected to the cathode to assist the evaporation process, the degree of recoating of the surface by other coating sources being less than 10%, and the magnetic field being generated by a magnet system that comprises at least one axially polarized coil with a geometry similar in size to the target.
    Type: Application
    Filed: July 12, 2007
    Publication date: July 2, 2009
    Applicant: Oelikon Trading AG Truebbach
    Inventors: Juergen Ramm, Beno Widrig, Christian Wohlrab
  • Publication number: 20090116169
    Abstract: A method for forming an alpha-tantalum layer comprising disposing a nitrogen containing base layer on a semiconductor substrate, bombarding the nitrogen containing base layer with a bombarding element, thereby forming an alpha-tantalum seed layer, and sputtering a layer of tantalum on the alpha-tantalum seed layer, thereby forming a surface layer of substantially alpha-tantalum.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 7, 2009
    Inventors: Jung-Chih Tsao, Miao-Cheng Liao, Phil Sun, Kei-Wei Chen
  • Publication number: 20090091003
    Abstract: Provided are an insulator that has an energy band gap of 2 eV or more and undergoes an abrupt MIT without undergoing a structural change, a method of manufacturing the insulator, and a device using the insulator. The insulator is abruptly transitioned from an insulator phase into a metal phase by an energy change between electrons without undergoing a structural change.
    Type: Application
    Filed: October 16, 2006
    Publication date: April 9, 2009
    Applicant: Electronics and Telecommunications Research
    Inventors: Jung Wook Lim, Sun Jin Yun, Hyun Tak Kim, Byung Gyu Chae, Bong Jun Kim, Kwang-Yong Kang
  • Publication number: 20090068350
    Abstract: A temporary protective coating is provided over a coated glass substrate. The temporary protective coating is preferably applied in liquid form then solidified on the substrate. In some instances, the temporary protective coating may be easily removed by simply peeling it off. In certain example embodiments, the temporary protective coating is applied after heat treatment and is removed by peeling it off before the coated substrate is coupled to another substrate to form a window unit such as an IG window unit or a laminated vehicle windshield.
    Type: Application
    Filed: August 8, 2008
    Publication date: March 12, 2009
    Applicant: Guardian Industries Corp.
    Inventors: Desaraju V. Varaprasad, John A. Vanderploeg
  • Publication number: 20090045485
    Abstract: The present invention provides a capacitor including: an under electrode; an upper electrode; and a dielectric film which is provided between the under electrode and the upper electrode, wherein at least a portion of the dielectric film is composed of an aluminum oxide film deposited by an atomic layer deposition method and a titanium oxide film deposited by the atomic layer deposition method. An aluminum composition ratio x and a titanium composition ratio y in the dielectric film preferably comply with 7?[x/(x+y)]×100?35.
    Type: Application
    Filed: August 8, 2008
    Publication date: February 19, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Toshiyuki HIROTA
  • Publication number: 20090014319
    Abstract: A method for producing a transparent insulating film includes a step of forming a transparent insulating film on a substrate by sputtering using a zinc-aluminum alloy target containing 50% to 90% by weight zinc and 10% to 50% byt weight aluminum in a mixed gas atmosphere of an inert gas and oxygen gas.
    Type: Application
    Filed: July 2, 2008
    Publication date: January 15, 2009
    Applicant: SONY CORPORATION
    Inventors: Shina Kirita, Toshitaka Kawashima
  • Patent number: 7476302
    Abstract: An apparatus and method to deposit a MgO film on a large substrate area. The method includes applying a voltage to one or more magnesium targets; applying an electric current to the one or more magnesium targets when the voltage stops increasing so that a power with a negative square wave, which does not cause mutual interfere, is applied to the one or more magnesium targets; and forming a MgO film on a substrate using magnesium particles emitted from the one or more magnesium targets by the power applied.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: January 13, 2009
    Assignee: Korea Electrotechnology Research Institute
    Inventors: Young Wook Choi, Jee Hyun Kim
  • Publication number: 20080283283
    Abstract: An object of the present invention is to provide a material for forming a capacitor layer comprising a dielectric layer formed by any one of a sol-gel method, an MOCVD method, and a sputtering deposition method. The material can reduce a leakage current of a capacitor circuit. In order to achieve the object, a material for forming a capacitor layer comprising a dielectric layer between a first conductive layer to be used for forming a top electrode and a second conductive layer to be used for forming a bottom electrode, characterized in that the dielectric layer is a dielectric oxide film formed by any one of a sol-gel method, an MOCVD method, and a sputtering deposition method; and particles constituting the dielectric oxide film are impregnated with a resin component is employed.
    Type: Application
    Filed: April 28, 2006
    Publication date: November 20, 2008
    Applicant: MITSUI MINING & SMELTING CO., LTD.
    Inventors: Naohiko Abe, Akiko Sugioka, Akihiro Kanno, Hirotake Nakashima
  • Patent number: 7452488
    Abstract: The present invention is directed to a composition consisting essentially of: a) from about 60 to about 99 mole % of SnO2, and b) from about 1 to about 40 mole % of one or more materials selected from the group consisting of i) Nb2O5, ii) NbO, iii) NbO2, iv) WO2, v) a material selected consisting of a) a mixture of MoO2 and Mo and b) Mo, vi) W, vii) Ta2O5, and viii) mixtures thereof, wherein the mole % s are based on the total product and wherein the sum of components a) and b) is 100. The invention is also directed to the sintered product of such composition, a sputtering target made from the sintered product and a transparent electroconductive film made from the composition.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: November 18, 2008
    Assignee: H.C. Starck Inc.
    Inventors: Prabhat Kumar, Rong-Chein Richard Wu, Shuwei Sun