Insulator Or Dielectric Patents (Class 204/192.22)
  • Patent number: 7431808
    Abstract: An electrically conductive titanium dioxide sputter target with an electrical resistivity of less than 5 ?-cm, which contains as an additive at least one doping agent or a mixture of doping agents in an amount of less than 5 mole %. The doping agent or agents are selected from the group including indium oxide, zinc oxide, bismuth oxide, aluminum oxide, gallium oxide, antimony oxide, and zirconium oxide. This treatment renders the titanium dioxide sputter target suitable for use in a direct-current sputtering process without any negative effects on the properties of the coating.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: October 7, 2008
    Assignee: W.C. Heraeus GmbH & Co., KG
    Inventors: Markus Schultheis, Christoph Simons, Martin Weigert
  • Publication number: 20080231667
    Abstract: A ferroelectric film having a columnar structure constituted by a plurality of columnar grains, and containing as a main component a perovskite oxide which has a composition expressed by a compositional formula A1+?[(ZrxTi1-x)1-yMy]Oz, where A represents one or more A-site elements including lead (Pb) as a main component, M represents one or more of vanadium (V), niobium (Nb), tantalum (Ta), and antimony (Sb) as one or more B-site elements, zirconium (Zr) and titanium (Ti) are also B-site elements, 0<x?0.7, 0.1?y?0.4, ? is approximately zero, z is approximately 3, and ? and z may deviate from 0 and 3, respectively, within ranges of ? and z in which the composition expressed by the compositional formula A1+?[(ZrxTi1-x)1-yMy]Oz can substantially form a perovskite structure.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 25, 2008
    Applicant: FUJIFILM Corporation
    Inventors: Takami ARAKAWA, Takamichi FUJII
  • Patent number: 7407565
    Abstract: A system for performing PVD of metallic nitride(s) is disclosed. The improved performance is provided by a method of increasing the partial pressures of nitrogen or other active gases near the wafer surface through initial introduction of the argon or other neutral gases alone into an ionized metal plasma PVD chamber through an upper gas inlet at or near the target, initiating the plasma in the presence of argon or other neutral gases alone, after which nitrogen or other active gases are introduced into the chamber through a lower gas inlet at or near the wafer surface to increase deposition rates and lower electrical resistivity of the deposited metallic layer. An apparatus for carrying out the invention includes a source of argon near the target surface and a source of nitrogen integral to the substrate support thereby delivering nitrogen near the substrate surface.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: August 5, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Wei Wang, James Van Gogh
  • Patent number: 7404877
    Abstract: Formation of a zirconia based thermal barrier layer is described. In accordance with the present invention, a thermal barrier layer composed of zirconia or an allow of zirconia is presented. An advantageous layer might be composed of zirconia or an alloy of zirconia with silica having improved properties. In some embodiments, such a zirconia layer might be deposited with a fraction of it's zirconia in a metallic state. Such a fraction, particularly if it were very low, would act to nucleate crystalline grains of silicon during the recrystallization phase of excimer laser melting due to the formation of point defects of zirconium silicide or other nucleating compound or formation. Heat treating the Zirconia layer anneals the Zirconia layer so that it can act as a gate oxide.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: July 29, 2008
    Assignee: SpringWorks, LLC
    Inventors: Richard E. Demaray, Vassiliki Milonopoulou
  • Publication number: 20080175008
    Abstract: A light-emitting diode (LED) assembly includes a heat dissipation device (30) and at least one LED (10). The heat dissipation device has a connecting surface (33) with circuitry (20) being directly formed thereon. The at least one LED is electrically connected with the circuitry, and is maintained in thermal and mechanical contact with the connecting surface to dissipate heat generated thereby through the heat dissipation device. A method for making the LED assembly includes steps of: (A) providing a heat dissipation device having a surface for the LED to be mounted thereon; (B) insulating the surface; (C) forming circuitry on the insulated surface directly to obtain a connecting surface; (D) attaching the LED to the connecting surface of the heat dissipation device thermally and mechanically, and connecting the LED with the circuitry electrically to form the LED assembly.
    Type: Application
    Filed: January 23, 2007
    Publication date: July 24, 2008
    Applicant: FOXCONN TECHNOLOGY CO., LTD.
    Inventors: TSENG-HSIANG HU, YEU-LIH LIN, LI-KUANG TAN
  • Patent number: 7393600
    Abstract: A sintered article is fabricated which contains one or more of indium oxide, zinc oxide, and tin oxide as a component thereof and contains any one or more types of metal out of hafnium oxide, tantalum oxide, lanthanide oxide, and bismuth oxide. A backing plate is attached to this sintered article to constitute a sputtering target. This sputtering target is used to fabricate a conductive film on a predetermined substrate by sputtering. This conductive film achieves a large work function while maintaining as much transparency as heretofore. This conductive film can be used to achieve an EL device or the like of improved hole injection efficiency.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: July 1, 2008
    Assignee: Idemitsu Kosan Co., Ltd.
    Inventors: Kazuyoshi Inoue, Hisayuki Kawamura
  • Publication number: 20080098805
    Abstract: An atomic force microscopy (AFM) nanoprobe comprising a nanocone base and a nanoprobe tip wherein the length to base diameter aspect ratio is at least 3 or more. The AFM nanoprobe tip structure comprises an orientation-controlled (vertical or inclined), high-aspect-ratio nanocone structure without catalyst particles, with a tip radius of curvature of at most 20 nm.
    Type: Application
    Filed: September 29, 2005
    Publication date: May 1, 2008
    Inventors: Sungho Jin, Ratneshwar Lal
  • Patent number: 7338582
    Abstract: It is an object of the present invention to provide an oxygen reduction electrode having excellent oxygen reduction catalysis ability. In a method of manufacturing a manganese oxide nanostructure having excellent oxygen reduction catalysis ability and composed of secondary particles which are aggregations of primary particles of manganese oxide, a target plate made of manganese oxide is irradiated with laser light to desorb the component substance of the target plate, and the desorbed substance is deposited on a substrate facing substantially parallel to the aforementioned target plate.
    Type: Grant
    Filed: August 16, 2005
    Date of Patent: March 4, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Nobuyasu Suzuki, Yasunori Morinaga, Hidehiro Sasaki, Yuka Yamada
  • Patent number: 7247227
    Abstract: In devices such as flat panel displays, an aluminum oxide layer is provided between an aluminum layer and an ITO layer when such materials would otherwise be in contact to protect the ITO from optical and electrical defects sustained, for instance, during anodic bonding and other fabrication steps. This aluminum oxide barrier layer is preferably formed either by: (1) partially or completely anodizing an aluminum layer formed over the ITO layer, or (2) an in situ process forming aluminum oxide either over the ITO layer or over an aluminum layer formed on the ITO layer. After either of these processes, an aluminum layer is then formed over the aluminum oxide layer.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: July 24, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Robert J. Hanson, Won-Joo Kim, Mike E. Pugh
  • Patent number: 7235160
    Abstract: The present invention provides an improved hollow cathode method for sputter coating a substrate. The method of the invention comprises providing a channel for gas to flow through, the channel defined by a channel defining surface wherein one or more portions of the channel-defining surface include at least one target material. Gas is flowed through the channel wherein at least a portion of the gas is a non-laminarly flowing gas. While the gas is flowing through the channel a plasma is generated causing target material to be sputtered off the channel-defining surface to form a gaseous mixture containing target atoms that is transported to the substrate. In an important application of the present invention, a method for forming oxide films and in particular zinc oxide films is provided.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: June 26, 2007
    Assignee: Energy Photovoltaics, Inc.
    Inventors: Alan E. Delahoy, Sheyu Guo
  • Patent number: 7226528
    Abstract: A sputter coated article is provided with improved mechanical durability (e.g., pre-HT scratch resistance) and/or thermal stability by sputtering at least one Ag inclusive layer in an atmosphere including at least O2 gas. For instance, in certain example embodiments an Ag inclusive target may be sputtered in an atmosphere including a combination of Ar and O2 gas. In certain embodiments, this enables the resulting AgOx infrared (IR) reflecting layer to better adhere to adjacent contact layer(s).
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: June 5, 2007
    Assignees: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Ronald E. Laird, George Neuman, Philip J. Lingle, Jean-Marc Lemmer, Keith H. Schillinger
  • Patent number: 7214295
    Abstract: The present invention discloses a method of manufacturing a thin film resistor with a moisture barrier by depositing a metal film layer on a substrate and depositing a layer of tantalum pentoxide film overlaying the metal film layer. The present invention also includes a thin film resistor having a substrate; a metal film layer attached to the substrate; and a tantalum pentoxide layer overlaying the metal film layer, the tantalum pentoxide layer providing a barrier to moisture, the tantalum pentoxide layer not overlaid by an oxidation process.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: May 8, 2007
    Assignee: Vishay Dale Electronics, Inc.
    Inventor: Stephen C. Vincent
  • Patent number: 7052584
    Abstract: A method of forming a capacitor having a capacitor dielectric layer comprising ABO3, where “A” is selected from the group consisting of Sn and Group IIA metal elements and mixtures thereof, where “B” is selected from the group consisting of Group IVA elements and mixtures thereof, includes providing a sputtering target comprising ABO3 and a substrate within a chamber. A sputtering gas is fed to the chamber under subatmospheric pressure conditions to sputter the target and physical vapor deposit an ABO3-comprising dielectric layer over the substrate. During the feeding, subatmospheric pressure is varied to produce different concentrations of B at different elevations in the deposited layer and where higher comparative pressure produces greater concentration of B. The ABO3 comprising dielectric layer is incorporated into a capacitor, with such layer comprising a capacitor dielectric layer of the capacitor and having a dielectric constant of at least 20 in the capacitor.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Cem Basceri
  • Patent number: 7037595
    Abstract: A thin layer of hafnium oxide or stacking of thin layers comprising hafnium oxide layers for producing surface treatments of optical components, or optical components, in which at least one layer of hafnium oxide is in amorphous form and has a density less than 8 gm/cm3. The layer is formed by depositing on a substrate without energy input to the substrate.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: May 2, 2006
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Bernard Andre, Jean Dijon, Brigitte Rafin
  • Patent number: 7025859
    Abstract: A coated article, and a corresponding method of making the same are provided. The coated article includes a coating supported by a substrate, the coating including a thin metal or metal nitride contact layer (e.g., NiCr, Ni, Cr, CrNx or NiCrNx) located directly between and contacting an infrared (IR) reflecting layer (e.g., Ag) and an oxide barrier layer (e.g., NiCrOx).
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: April 11, 2006
    Assignee: Guardian Industries Corp.
    Inventor: Grzegorz Stachowiak
  • Patent number: 7008519
    Abstract: The present invention provides an ITO sputtering target for forming a high-resistance transparent conductive film which target can be used virtually in a DC magnetron sputtering apparatus and can form a high-resistance, transparent film, and a method for producing a high-resistance transparent conductive film. The sputtering target for forming a high-resistance transparent conductive film having a resistivity of about (0.8–10)×10?3 ?cm contains indium oxide, an insulating oxide, and optionally tin oxide.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: March 7, 2006
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Seiichiro Takahashi, Makoto Ikeda, Hiroshi Watanabe
  • Patent number: 6991709
    Abstract: A multi-step sputtering process in plasma sputter reactor having target and magnetron operable in two modes, for example, in a substrate sputter etch and a substrate sputter deposition. The target has an annular vault facing the wafer to be sputter coated. Various types of magnetic means positioned around the vault create a magnetic field supporting a plasma extending over a large volume of the vault. An integrated copper via filling process with the inventive reactor or other reactor includes a first step of highly ionized sputter deposition of copper, which can optionally be used to remove the barrier layer at the bottom of the via, a second step of more neutral, lower-energy sputter deposition of copper to complete the seed layer, and a third step of electroplating copper into the hole to complete the metallization. The first two steps can be also used with barrier metals.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: January 31, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Praburam Gopalraja, Jianming Fu, Fusen Chen, Girish Dixit, Zheng Xu, Wei Wang, Ashok K. Sinha
  • Patent number: 6984294
    Abstract: A conductive barrier layer may be formed within high aspect ratio openings by a two-step ionizing sputter deposition. The first step is performed at low pressure and low bias power to obtain good coverage of upper portions of the openings. In the second step, the bias power and the pressure are raised to improved directionality of the particles while at the same time increasing the scatter events so that an increased deposition rate at critical structure areas is obtained, thereby achieving a good coverage at lower sidewall areas.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: January 10, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael Friedemann, Volker Kahlert
  • Patent number: 6930815
    Abstract: The invention relates to electrochromic side-chain oligomers or polymers which can be used as electrochromic media.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: August 16, 2005
    Assignee: Bayer Aktiengesellschaft
    Inventors: Horst Berneth, Wolfgang Jacobsen, Serguei Kostromine, Ralf Neigl
  • Patent number: 6921464
    Abstract: In a method of manufacturing a thin film battery in a chamber, a target comprising LiCoO2 is provided on a magnetron cathode in the chamber, and a substrate is placed facing the target. A process gas is introduced into the chamber and the process gas is energized to form a plasma to sputter the target to deposit LiCoO2 on the substrate. An ion flux of from about 0.1 to about 5 mA/cm2 is delivered from the plasma to the substrate to enhance the crystallinity of the deposited LiCoO2 material on the substrate. The process gas is exhausted from the chamber. The target can also be made of other materials.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: July 26, 2005
    Assignee: Front Edge Technology
    Inventors: Victor Krasnov, Kai-Wei Nieh, Su-Jen Ting
  • Patent number: 6887353
    Abstract: Disclosed herein is a barrier layer structure useful in forming copper interconnects and electrical contacts of semiconductor devices. The barrier layer structure comprises a first layer of TaNx which is applied directly over the substrate, followed by a second layer of Ta. The TaNx/Ta barrier layer structure provides both a barrier to the diffusion of a copper layer deposited thereover, and enables the formation of a copper layer having a high <111> crystallographic content so that the electromigration resistance of the copper is increased. The TaNx layer, where x ranges from about 0.1 to about 1.5, is sufficiently amorphous to prevent the diffusion of copper into the underlying substrate, which is typically silicon or a dielectric such as silicon dioxide.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: May 3, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Peijun Ding, Tony Chiang, Barry L. Chin
  • Patent number: 6884465
    Abstract: A process for producing aluminum oxide thin films on a substrate by the ALD method comprises the steps of bonding a vaporizable aluminum compound to a growth substrate, and converting the bonded organoaluminum compound to aluminum oxide. The bonded aluminum compound is converted to aluminum oxide by contacting it with a reactive vapor source of oxygen other than water, and the substrate is kept at a temperature of less than 190° C. during the growth process. By means of the invention it is possible to produce films of good quality at low temperatures. The dielectric thin films having a dense structure can be used for passivating surfaces that do not endure high temperatures. Such surfaces include, for example, polymer films such as organic electroluminescent displays. Further, when a water-free oxygen source is used, surfaces that are sensitive to water can be passivated.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: April 26, 2005
    Assignee: ASM International NV
    Inventors: Jarmo Skarp, Mervi Linnermo, Timo Asikainen
  • Patent number: 6878242
    Abstract: A rotating sputtering target(s) is segmented so as to include a plurality of different sputtering material portions or segments radially dispersed around the outer periphery of the target. This enables a plurality of different layers to be sputter-deposited, one after the other, using the same sputtering target as the target rotates. The thicknesses of the different layers can be controlled by the radially extensive size of the different segments, the rotation speed of the target, the material sputter rate, the sputtering power used, and/or the line speed of the sputter coater in which the target(s) is located. One or more such targets may be used in a coater according to different embodiments of this invention.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: April 12, 2005
    Assignee: Guardian Industries Corp.
    Inventors: Hong Wang, Thomas A Seder
  • Patent number: 6860974
    Abstract: There are provided techniques of forming a back reflecting layer with constant characteristics throughout long-term film formation and forming a metal oxide film so as to be able to maintain a current of a bottom cell and thereby keep a short-circuit current Jsc of a solar cell constant over a long period of time. A sputtering method is a method of forming a stack of a metal film and a metal oxide film, comprising the step 1 of forming a metal layer on a substrate, the step 2 of bringing a surface of the metal layer into contact with active oxygen, and the step 3 of forming a metal oxide film thereon after the step 2, wherein in the step 2 an amount of active oxygen at a first substrate position is different from that at a second substrate position.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: March 1, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroshi Echizen, Yasuyoshi Takai, Akiya Nakayama
  • Patent number: 6860975
    Abstract: A barrier layer is deposited on a substrate having a recess by sputtering tantalum in a nitrogen atmosphere. A flow of the nitrogen is selected to deposit mixed phase bcc/?Ta, and sputter ions are sufficiently energetic to cause re-sputtering of deposited material from the base of the recess to its sidewall or sidewalls.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: March 1, 2005
    Assignee: Trikon Technologies Limited
    Inventors: Hilke Donohue, Stephen Robert Burgess
  • Patent number: 6849165
    Abstract: A process for the deposition of transparent and conductive indium-tin oxide (ITO) films with a particularly low resistance of preferably less than 200 ??cm and a small surface roughness of preferably less than 1 nm on a substrate, wherein combined HF/DC sputtering of an indium-tin oxide (ITO) target is employed and wherein the process gas is supplemented by an argon/hydrogen mixture as reaction gas during the sputtering, as well as ITO-films with the above-named characteristics.
    Type: Grant
    Filed: May 4, 2001
    Date of Patent: February 1, 2005
    Assignee: Unaxis Deutschland GmbH
    Inventors: Andreas Klöppel, Jutta Trube
  • Patent number: 6849166
    Abstract: In a manufacturing method for a piezoelectric actuator a first electrode layer is formed on substrate, a ferroelectric thin film is formed on the first electrode layer, and an inorganic protective layer 4 is formed on the ferroelectric thin film. Then, the inorganic protective layer 4 and the ferroelectric thin film are heat-treated under an oxygen containing atmosphere, and a second electrode layer is formed on an oxidation diffusion layer, wherein the oxidation diffusion layer is formed on a surface of the ferroelectric thin film as a result of component diffusion of the ferroelectric thin film and oxidation of the inorganic protective layer 4 due to the heat treatment. By using this method, it is possible to improve ferroelectricity without deterioration or cracking of a surface of the ferroelectric thin film.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: February 1, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hiroyuki Kita
  • Patent number: 6846370
    Abstract: Electrically resistive material including platinum and from about 5 and about 70 molar percent or iridium, ruthenium or mixtures thereof, calculated based on platinum as 100%, are disclosed.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: January 25, 2005
    Assignee: Shipley Company, L.L.C.
    Inventors: Craig S. Allen, John Schemenaur, David D. Senk, Marc Langlois, Xiaodong Hu, Jan Tzyy-Jiuan Hwang, Jud Ready, Trifon Tomov
  • Patent number: 6828045
    Abstract: An organic EL element comprising: an anode, a cathode, and an organic layer sandwiched therebetween containing at least an emitting layer, wherein a ratio, [In3d5/2]h/[In3d5/2]n, is from 0.9 to 1.2 wherein [In3d5/2]h is the half band width of a spectral peak derived from a 3d5/2 orbit of an In atom in the surface of the anode, and [In3d5/2]n is the half band width of a spectral peak derived from a 3d5/2 orbit of an In atom in the interior of the anode, the spectral peaks being measured by X-ray photoelectron spectroscopy (XPS): and the method for the production thereof.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: December 7, 2004
    Assignee: Idemitsu Kosan Co., Ltd.
    Inventors: Hiroshi Tokailin, Yoshikazu Nagasaki, Tadao Shibuya
  • Publication number: 20040231974
    Abstract: A metal oxide semiconductor gas sensor and a method for production thereof. The sensor comprises a sensor-active metal oxide thin layer applied to a substrate, in contact with at least one electrode. The sensor-active metal oxide thin layer comprises a chromium/titanium oxide (CTO) layer with a thickness of about 10 nm to about 1 &mgr;m. The chromium and titantium layers are applied over each other using thin layer technology and are subsequently tempered.
    Type: Application
    Filed: April 20, 2004
    Publication date: November 25, 2004
    Inventors: Harald Bottner, Jurgen Wollenstein, Gerd Kuhner
  • Publication number: 20040231981
    Abstract: The present invention provides an ITO sputtering target for forming a high-resistance transparent conductive film which target can be used virtually in a DC magnetron sputtering apparatus and can form a high-resistance, transparent film, and a method for producing a high-resistance transparent conductive film. The sputtering target for forming a high-resistance transparent conductive film having a resistivity of about (0.8-10)×10−3 &OHgr;cm contains indium oxide, an insulating oxide, and optionally tin oxide.
    Type: Application
    Filed: May 23, 2003
    Publication date: November 25, 2004
    Applicant: MITSUI MINING & SMELTING CO., LTD.
    Inventors: Seiichiro Takahashi, Makoto Ikeda, Hiroshi Watanabe
  • Patent number: 6821562
    Abstract: In accordance with the present invention, an insulating sealing structure useful in physical vapor deposition apparatus is provided. The insulating sealing structure is capable of functioning under high vacuum and high temperature conditions. The apparatus is a three dimensional structure having a specifically defined range of electrical, chemical, mechanical and thermal properties enabling the structure to function adequately as an insulator which does not break down at voltages ranging between about 1,500 V and about 3,000 V, which provides a seal against a vacuum of at least about 10−6 Torr, and which can function at a continuous operating temperature of about 300° F. (148.9° C.) or greater.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: November 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Richard Ernest Demaray, Manuel J. Herrera, David F. Eline, Chandra Deshpandey
  • Publication number: 20040222089
    Abstract: The present invention is directed to a sputtering target which inhibits formation of nodules during sputtering so as to form a transparent conductive film, thereby reliably forming the film, and to a transparent conductive film exhibiting excellent etching processability. The sputtering target is formed of a specific sintered metal oxide product composed of indium oxide, gallium oxide, and zinc oxide (1) or a specific sintered metal oxide product composed of indium oxide, gallium oxide, and germanium oxide (2).
    Type: Application
    Filed: March 18, 2004
    Publication date: November 11, 2004
    Inventors: Kazuyoshi Inoue, Shigeo Matsuzaki
  • Patent number: 6802949
    Abstract: Disclosed are a method for manufacturing a half-metallic magnetic oxide and a plasma sputtering apparatus used in the method. A conductor provided with at least one hole is disposed between a metal target and a substrate holder in the plasma sputtering apparatus, thereby improving the bonding of metal ions discharged from the metal target to oxygen ions, and a magnetic field with a coercive force larger than that of a thin film to be formed on the substrate, thereby obtaining a magnetic oxide film with excellent properties. In a preferred embodiment of the present invention, a conductor-side power supply unit is connected to the conductor, thereby additionally supplying power to the conductor and generating second plasma. The plasma sputtering apparatus supplies high power so as to decompose oxygen, and discharges metal ions with different electrovalences at a precise ratio by the additional power supply, thereby being effectively used in manufacturing a half-metallic oxide at low temperatures.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: October 12, 2004
    Assignee: Hanyang Hak Won Co., Ltd.
    Inventors: Jin Pyo Hong, Chang Hyo Lee, Chae Ok Kim, Kap Soo Yoon, Sung Bok Lee
  • Patent number: 6802943
    Abstract: A coated article, and a corresponding method of making the same are provided. The coated article includes a coating supported by a substrate, the coating including a thin metal or metal nitride contact layer (e.g., NiCr, Ni, Cr, CrNx or NiCrNx) located directly between and contacting an infrared (IR) reflecting layer (e.g., Ag) and an oxide barrier layer (e.g., NiCrOx).
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: October 12, 2004
    Assignee: Guardian Industries Corp.
    Inventor: Grzegorz Stachowiak
  • Patent number: 6800179
    Abstract: A heat treatable coated article includes an oxidation graded layer designed so as to include an at least partially oxided anti-migration or barrier layer(s) portion provided between a solar management layer portion (e.g., NiCr layer portion) and a dielectric layer (e.g., silicon nitride). In certain example embodiments, the anti-migration or barrier layer(s) portion may include a metal oxide, and function(s) to prevent element(s) from migrating between the solar management layer and the dielectric layer upon heat treatment (HT) of the coated article. As a result, the coated article has improved color stability (and thus a lower &Dgr;E* value) upon HT. In certain example embodiments, a single target may be used to sputter the graded layer including the anti-migration layer portions and the more metallic central portion. Coated articles herein may be used in the context of insulating glass (IG) window units, other architectural windows, vehicle windows, or the like.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: October 5, 2004
    Assignee: Guardian Industries Corp.
    Inventor: Hong Wang
  • Patent number: 6793781
    Abstract: Silicon-chromium cathode targets having 5 to 80 weight percent chromium are used to sputter absorbing coatings of silicon-chromium-containing material in atmospheres of inert gas such as argon, reactive gases such as nitrogen, oxygen, and mixtures thereof to form metallic films and films of nitrides, oxides, and oxynitrides of metals. Chromium in the cathode target in the range of 5 to 80 weight percent provides target stability and enhanced sputtering rates over targets of silicon alone and are comparable to the target stability and sputtering rates of silicon-nickel targets, Chromium in the target may be replaced in part with nickel, preferably in the range of 5 to 15 weight percent, to produce coatings of silicon-chromium-nickel and the oxides, nitrides and oxynitrides thereof.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: September 21, 2004
    Assignee: PPG Industries Ohio, Inc.
    Inventor: James J. Finley
  • Publication number: 20040180217
    Abstract: A sputtering target including indium oxide and tin oxide, the content by percentage of the tin atoms therein being from 3 to 20 atomic % of the total of the indium atoms and the tin atoms, and the maximum grain size of indium oxide crystal in the sputtering target being 5 &mgr;m or less. When a transparent conductive film is formed by sputtering, this sputtering target makes it possible to suppress the generation of nodules on the surface of the target and to conduct the sputtering stably.
    Type: Application
    Filed: January 30, 2004
    Publication date: September 16, 2004
    Inventors: Kazuyoshi Inoue, Shigeo Matsuzaki
  • Patent number: 6787005
    Abstract: A sputter coated article is provided with improved mechanical durability (e.g., pre-HT scratch resistance) and/or thermal stability by sputtering at least one Ag inclusive layer in an atmosphere including at least O2 gas. For instance, in certain example embodiments an Ag inclusive target may be sputtered in an atmosphere including a combination of Ar and O2 gas. In certain embodiments, this enables the resulting AgOx infrared (IR) reflecting layer to better adhere to adjacent contact layer(s).
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: September 7, 2004
    Assignees: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Ronald E. Laird, George Neuman, Philip J. Lingle, Jean-Marc Lemmer, Keith H. Schillinger
  • Patent number: 6787003
    Abstract: A sputtering target may include: a) a backing adapted to be operatively connected to a sputter power source; and b) an outer layer of a sputterable material carried by the backing, the sputterable material including a mixture of zinc and a second metal having a melting point less than that of the zinc, the zinc and the second metal being present in the sputterable material in metallic form and arranged as discrete volumes of the second metal in a matrix of zinc so that the zinc and the second metal are present in the sputterable material in a substantially non-alloyed form.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: September 7, 2004
    Assignee: N.V. Bekaert S.A.
    Inventors: Klaus Hartig, Johan Vanderstraeten
  • Patent number: 6784121
    Abstract: A xerogel aging system includes an aging chamber (190) with inlets and outlet and flows a gel catalyst in gas phase over a xerogel precursor film on a semiconductor wafer. Preferred embodiments use an ammonia and water vapor gas mixture catalyst.
    Type: Grant
    Filed: October 23, 1998
    Date of Patent: August 31, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Changming Jin, Richard Scott List, Joseph D. Luttmer
  • Patent number: 6770176
    Abstract: Methods of manufacturing an electrochemical device, are taught. The methods may be of particular use in the manufacture of thin-film, lightweight, flexible or conformable, electrochemical devices such as batteries, and arrays of such devices. The methods may provide many advantages including stunting fractures in a first electrochemical layer from propagating in a second electrochemical layer.
    Type: Grant
    Filed: August 2, 2002
    Date of Patent: August 3, 2004
    Assignee: ITN Energy Systems. Inc.
    Inventors: Martin H. Benson, Bernd J. Neudecker
  • Patent number: 6764579
    Abstract: A substrate is coated with a solar management coating system including at least one infrared (IR) reflective layer. A diamond-like carbon (DLC) inclusive protective coating system (e.g., including at least one highly tetrahedral amorphous carbon (ta-C) inclusive layer having sp3 carbon—carbon bonds) is provided on the substrate over at least the IR reflective layer in order to make the coating system scratch resistant, abrasion resistant, and generally mechanically durable. The DLC inclusive protective coating system may be hydrophobic, hydrophillic, or neutral in different embodiments of the invention. Optionally, at least one fluoro-alkyl silane (FAS) compound inclusive layer may be provided on the substrate over at least one of the DLC inclusive layer(s) in hydrophobic embodiments in order to increase contact angle &thgr; of the coated article.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: July 20, 2004
    Assignee: Guardian Industries Corp.
    Inventors: Vijayen S. Veerasamy, Rudolph Hugo Petrmichl, Scott V. Thomsen
  • Patent number: 6764580
    Abstract: A multi-layer antistatic/antireflective coating having high electrical conductivity (103 ohms) and low reflectivity (0.7%) is applied to the outer surface of a video display screen by sputtering. The multi-layer coating includes an inner antistatic layer deposited directly on the video display screen and comprised of ITO, TiO2, etc., having a light refractive index in the range of 1.8-2.2 and a thickness in the range of 18-35 nm. The outer antireflective layer is comprised of SiO2, MgO, etc., having a light refractive index in the range of 1.3-1.47 and a thickness in the range of 110-140 nm. The multi-layer coating is applied using a sputtering apparatus having a dual vacuum chamber, a diffusion pump connected to one of the chambers, and plural vacuum pumps connected to the diffusion pump and to the dual vacuum chamber with various gauges and valves for monitoring and controlling the sputtering operation.
    Type: Grant
    Filed: November 15, 2001
    Date of Patent: July 20, 2004
    Assignee: Chungwa Picture Tubes, Ltd.
    Inventor: Yueh-Ming Teng
  • Patent number: 6746960
    Abstract: Techniques are used to detect and identify analytes. Techniques are used to fabricate and manufacture sensors to detect analytes. An analyte (1810) is sensed by sensors (1820) that output electrical signals in response to the analyte. The electrical signals are preprocessed (1830) by filtering and amplification. In an embodiment, this preprocessing includes adapting the sensor and electronics to the environment in which the analyte exists. The electrical signals are further processed (1840) to classify and identify the analyte, which may be by a neural network.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: June 8, 2004
    Assignee: California Institute of Technology
    Inventor: Rodney M. Goodman
  • Patent number: 6746577
    Abstract: The invention embodies a method and apparatus for controlling the thickness of a dielectric film formed by physical vapor deposition (PVD). The method compensates for the continuously varying electrical load conditions inherent in dielectric deposition via PVD. The method can be implemented through three different stages. Initially, the system power supply can be configured to operate in either constant current or constant voltage mode, herein referred to as constant supply parameter mode. Next, a gas composition which minimizes excursions in system impedance under these conditions is empirically determined. Finally, a test deposition can be performed using the constant parameter power supply mode and the gas mixture. This deposition is performed while tracking and summing the energy delivered to the system. The thickness of the deposited film is subsequently measured, and from these data a thickness-per-unit-energy relationship is determined.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: June 8, 2004
    Assignee: Agere Systems, Inc.
    Inventors: Bradley Paul Barber, Linus Albert Fetter
  • Publication number: 20040099525
    Abstract: A method of forming an oxide thin film includes introducing a work function reducing agent onto a surface of a sputter target facing into a substrate in a process chamber, providing an oxygen gas and an inert gas into the process chamber, ionizing the oxygen gas and the inert gas, thereby generating a plurality of electrons, disintegrating a plurality of negatively charged ions from the sputter target, and forming the oxide thin film on the substrate from the negatively charged ions reacted with the ionized oxygen gas.
    Type: Application
    Filed: November 21, 2002
    Publication date: May 27, 2004
    Applicant: Plasmion Corporation
    Inventors: Namwoong Paik, Minho Sohn, Steven Kim
  • Patent number: 6726813
    Abstract: The invention relates to a security device having at least one plastic layer and one specularly reflecting metal layer. An inorganic auxiliary layer is disposed between the plastic layer and the metal layer.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: April 27, 2004
    Assignee: Giesecke & Devrient GmbH
    Inventors: Wittich Kaule, Gregor Grauvogl, Jürgen Schützmann, Friedrich Kretschmar
  • Patent number: 6723210
    Abstract: A method for improving a performance of a sputtering target in a magnetron sputtering system having at least one magnet repetitively and retracingly scanning between two sides thereof and receiving a power input changing with a scanning position of the magnet is provided. The method includes the steps of stepwise reducing the power input while the magnet approaches a specific distance range near a retracing point, so as to reduce an erosion rate of the sputtering target by the magnetron sputtering system, and increasing the power input to a specific value while the magnet leaves the specific distance range, wherein the power input changes with the scanning position of the magnet, so as to improve the performance of the sputtering target.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: April 20, 2004
    Assignee: Hannstar Display Corp.
    Inventors: Tun-Ho Teng, Yung-Fu Chen
  • Patent number: 6723211
    Abstract: A heat treatable coated article (e.g., vehicle windshield, IG unit, etc.) is provided with a dual-silver low-E coating. Before and/or after heat treatment (HT); the coating and/or coated article has a visible transmittance of at least 70%, more preferably at least 75%. Moreover, the coating and/or coated article is designed so as to have approximately the same color when viewed over a wide range of viewing angles. In certain embodiments, at least one contact layer (e.g., of or including NiCrOx) that contacts an infrared (IR) reflecting layer (e.g., Ag) is oxidation graded so that it progressively becomes less oxidized through its thickness as it nears the IR reflecting layer. In still other embodiments, a Si-rich silicon nitride layer(s) may be utilized to reduce haze.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: April 20, 2004
    Assignees: Guardian Industries Corp, Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Philip J. Lingle, Anton Dietrich, Ronald E. Laird, Jean-Marc Lemmer