Etchant Contains Acid Patents (Class 216/103)
  • Patent number: 11377745
    Abstract: Method for stripping a coating from a coated surface of a substrate, wherein the coating is stripped in an aqueous alkaline solution, characterized in that the method comprises following steps:—preparing the coated substrate to be decoated by providing the substrate with a strippable coating by depositing a coating comprising one or more layers, wherein one layer comprising aluminum is deposited directly on the substrate surface to be decoated and—introducting the substrate to be decoated in the aqueous alkaline solution, thereby conducting a chemical stripping of the coating from the substrate, whereas the aqueous alkaline solution comprises NaOH in a concentration in weight percentage from 30 wt. % to 50 wt. %.
    Type: Grant
    Filed: August 21, 2019
    Date of Patent: July 5, 2022
    Assignee: Oerlikon Surface Solutions AG, Pfäffikon
    Inventors: Anders Olof Eriksson, Sebastian Benedikt, Vadim Schott
  • Patent number: 11274261
    Abstract: Method for increasing lubrication in metal working processes by the use of phosphate esters of propoxylated and ethoxylated alcohols.
    Type: Grant
    Filed: November 12, 2019
    Date of Patent: March 15, 2022
    Assignee: Lamberti SPA
    Inventors: Alessandro D'Aloia, Dario Fornara, Gabriele Greco, Alessandro Lugari, Giovanni Floridi, Giuseppe Li Bassi
  • Patent number: 10640827
    Abstract: A DNA sequencing device, and related methods, include a nanochannel sized to receive a DNA strand, a first electrode member exposed within the nanochannel, and a second electrode member exposed within the nanochannel and spaced apart from the first electrode to form an electrode gap. The second electrode member has a wedge shaped profile, and the first and second electrode members are operable to detect a change in electronic signal as the DNA strand passes through the electrode gap.
    Type: Grant
    Filed: February 1, 2018
    Date of Patent: May 5, 2020
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Kim Yang Lee, David S. Kuo, Thomas Young Chang, Xiaomin Yang, ShuaiGang Xiao, Koichi Wago
  • Patent number: 10428242
    Abstract: A slurry composition for chemical mechanical polishing, the slurry composition including ceramic polishing particles; a dispersion agent; a pH control agent and an additive having affinity with silicon nitride.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: October 1, 2019
    Assignees: SAMSUNG ELECTRONICS CO., LTD., K.C. TECH Co., Ltd.
    Inventors: Doo-sik Moon, Sang-hyun Park, Bo-un Yoon, Ho-young Kim, Se-jung Park, Jae-hak Lee, Jin-myung Hwang
  • Patent number: 10358382
    Abstract: The present invention relates to a process for manufacturing glass sheets with diffuse finish and the resulting glass sheet by this process. The glass sheet is subjected to a series of alternate immersions in acidic solutions and alkaline solutions to remove impurities and waste and to generate a diffuse finish on both sides of the glass sheet. The process generates in the glass sheet in at least one side, a diffuse surface with a peak to valley roughness (Rt) of between 5.8343 ?m and 9.3790 ?m; an average roughness (Ra) value between 0.8020 ?m and 0.9538 ?m; an RMS roughness between 0.9653 ?m and 1.1917 ?m; a solar transmission between 84.8% and 46.50%; a solar reflection between 7.4 and 4.4%; a light transmission between 88.5% and 67.70%; a reflection of light between 6.50% and 5.20%; and UV transmission between 35.60% and 70.20%.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: July 23, 2019
    Assignee: Vidrio Plano de Mexico, S.A. de C.V.
    Inventors: Jose Luis Tavares Cortes, Arturo Si Ming Lamshing Tai, Gerardo Soto Puente, Jorge Sanchez-Gonzalez
  • Patent number: 10054388
    Abstract: A disrupter cannon is used to disrupt or destroy explosive devices. A disrupter cannon may launch a liquid (e.g., water) toward an explosive device to disrupt the explosive device. The liquid may be launched through a nozzle. The nozzle may include passages that spread the liquid to for a column of liquid with a cross-section. A nozzle that provides a column of liquid with an oval cross-section may be more effective at disrupting certain types of explosive devices.
    Type: Grant
    Filed: March 24, 2018
    Date of Patent: August 21, 2018
    Inventor: F. Richard Langner
  • Patent number: 9995929
    Abstract: The invention concerns a method for tuning at a targeted resonance wavelength at least one micro and/or nanophotonic resonator, the resonator having dimensions defining resonance wavelength of said resonator, the resonator being immersed in a fluid containing ions so that the resonator is surrounded by said fluid, wherein the method comprises a step of injecting light, having a light wavelength equal to the resonance wavelength, into the resonator, so that the injected light resonates within the resonator and triggers a photo-electrochemical etching process enabled by the surrounding fluid containing ions, said etching process being enhanced by the optical resonance which amplifies light intensity in the photonic resonator, the etching decreasing dimensions of the photonic resonator, hereby lowering and tuning the resonance wavelength of the photonic resonator.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: June 12, 2018
    Assignees: Universite Paris Diderot Paris 7, Centre National de la Recherche Scientifique (CNRS)
    Inventors: Ivan Favero, Christopher Baker, Eduardo Gil Santos
  • Patent number: 9663356
    Abstract: A method of making a microelectromechanical systems (MEMS) device includes etching away a sacrificial material layer to release a mechanical element of the MEMS device. The MEMS device is formed at least partially on the sacrificial material layer, and the etching leaves a residue in proximity to the mechanical element. The residue is exposed to an anhydrous solution to remove the residue. The residue may be an ammonium fluorosilicate-based residue, and the anhydrous solution may include acetic acid, isopropyl alcohol, acetone, or any anhydrous solution that can effectively dissolve the ammonium fluorosilicate-based residue.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: May 30, 2017
    Assignee: NXP USA, Inc.
    Inventors: Srivatsa G. Kundalgurki, Ruben B. Montez, Gary Pfeffer
  • Patent number: 9568674
    Abstract: Disclosed method and apparatus embodiments provide a photonic device with optical isolation from a supporting substrate. A generally rectangular cavity in cross section is provided below an element of the photonic device and the element may be formed from a ledge of the supporting substrate which is over the cavity.
    Type: Grant
    Filed: March 19, 2015
    Date of Patent: February 14, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Roy Meade
  • Patent number: 9508887
    Abstract: Methods of fabricating conductive patterns over a solar cell structure are provided, in which a patterned resist layer is provided over an anti-reflective coating layer formed over a solar cell structure. The patterned resist layer is used to etch the exposed portion of the anti-reflective coating, and a metal seed layer is provided over the resist layer and the exposed portion of the solar cell structure's surface. The metal seed layer is selectively removed from over the patterned resist layer without removal from the exposed portion of the surface of the solar cell structure. Different thermal conductivities of the patterned resist layer and the solar cell structure's surface facilitate the selective removal of the seed layer from over the resist layer. Also provided are methods of facilitating simultaneous fabrication of conductive patterns over a plurality of solar cell structures using one or more frame structures.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: November 29, 2016
    Assignee: TETRASUN, INC.
    Inventors: Douglas E. Crafts, Oliver Schultz-Wittmann, Adrian B. Turner, Qin Yang Ong
  • Patent number: 9457502
    Abstract: Provided is a method of preparing an aluminum-resin complex in which an aluminum alloy and a resin composition are integrated with each other. More particularly, the present invention is relates to a method of preparing an aluminum-resin complex having improved bonding strength by preparing an aluminum alloy having a more uniform etching surface using an alkaline aqueous solution to which a chelating agent and a cycloamine are added and an acidic aqueous solution to which a chelating agent is added and injection-molding a resin composition using the aluminum alloy.
    Type: Grant
    Filed: January 14, 2015
    Date of Patent: October 4, 2016
    Assignee: ILKWANGPOLYMER CO., LTD.
    Inventors: Eun Kyung Lee, Yong Wan Jo
  • Patent number: 9418904
    Abstract: To provide improved planarization, techniques in accordance with this disclosure include a CMP station that utilizes localized planarization on a wafer. This localized planarization, which is often carried out in a localized planarization station downstream of a CMP station, applies localized planarization to less than the entire face of the wafer to correct localized non-planar features. Other systems and methods are also disclosed.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: August 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Chen Wang, Feng-Inn Wu
  • Patent number: 9390972
    Abstract: A method of fabricating a semiconductor device including a substrate having a copper interconnect exposed on a surface of an insulation film, wherein a layer of an anti-corrosion agent composed of organic material is formed on the surface of the copper interconnect. The method includes removing the layer of the anti-corrosion agent by heating the substrate; and forming a thin layer including manganese oxide on the surface of the copper interconnect by supplying a gas containing an organic compound of manganese to the substrate.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: July 12, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kenji Matsumoto, Hiroaki Kawasaki, Hiroyuki Nagai
  • Patent number: 9290695
    Abstract: An etching solution includes: phosphoric acid having concentration of 30% by weight to 80% by weight; nitric acid having concentration of 10% by weight or less; and surfactant having concentration of 0.0005% by weight to 0.0050% by weight, wherein the etching solution is used for etching an aluminum oxide film having film density of 2.80 g/cm3 to 3.25 g/cm3.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: March 22, 2016
    Assignee: JOLED INC
    Inventors: Hirofumi Higashi, Yoshiharu Hidaka
  • Patent number: 9039915
    Abstract: Disclosed are etching solution compositions that comprise fluorine compounds and iron ions, which are used for bulk etching of metal laminate films wherein a layer comprising aluminum or an aluminum alloy is laminated on top and a layer comprising titanium or a titanium alloy on bottom, and an etching method using said etching solution compositions.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: May 26, 2015
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Kenji Kuroiwa, Kazuaki Nagashima, Masaru Kato, Masahiro Nohara
  • Patent number: 9029268
    Abstract: Processes are described to etch metals. In an embodiment, a process may include contacting a substrate with a stripping solution to remove photoresist from the substrate to produce a stripped substrate. The stripped substrate may include a plurality of solder pillars and a plurality of metal-containing field regions disposed around the plurality of solder pillars. In an illustrative embodiment, the plurality field regions may include copper. Additionally, the process may include rinsing the stripped substrate to produce a rinsed substrate. The rinsed substrate may be substantially free of a Sn layer or a Sn oxide layer. Further, the process may include contacting the rinsed substrate with an etch solution that is capable of removing an amount of one or more metals from the plurality of field regions.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: May 12, 2015
    Assignee: Dynaloy, LLC
    Inventors: Richard Dalton Peters, Travis Acra, Spencer Erich Hochstetler, Kimberly Dona Pollard
  • Patent number: 9023229
    Abstract: A double ITO structure, containing sequential layers of indium tin oxide (ITO), silicon dioxide (SiO2) (which may include a dopant material) and ITO, is selectively protected by a patterned photo-resist mask. The sequential layers are etched together in a single etching step using an etchant composition which is an acidic solution containing a transition metal chloride and hydrochloric acid (HCl). Thus, the double ITO structure is etched using a substantially fluoride-free etchant composition.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: May 5, 2015
    Assignee: 3M Innovative Properties Company
    Inventors: Muthu Sebastian, Fong Liang Tan
  • Patent number: 9017567
    Abstract: A chemical treatment apparatus and a method for performing a chemical treatment of a wafer, etc., by supplying a chemical via a cell. The apparatus includes a cylindrical inner cell and a cylindrical outer cell with open ends disposed at an outer circumference of the inner cell. The outer cell is axially movable to vary the width of a slit formed between a bottom end of the outer cell and a top surface of the substrate-holding means by the axial movement, thereby adjusting the discharge rate of the chemical and varying the pressure of the chemical.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: April 28, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yoshiaki Tomari
  • Patent number: 8999180
    Abstract: A process of manufacturing a solar cell is provided. The process comprising the steps of: i) ink jet printing an alkali removable water insoluble hot melt ink jet ink onto a substrate comprising a silicon wafer to form a resist image on the substrate; ii) etching or plating the substrate in an aqueous acid medium; and iv) removing the resist image with an aqueous alkali.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: April 7, 2015
    Assignee: Sun Chemical Corporation
    Inventor: Nigel Anthony Caiger
  • Patent number: 8992787
    Abstract: Anode foils suitable for use in electrolytic capacitors, including those having multiple anode configurations, have improved strength, reduced brittleness, and increased capacitance compared to conventional anode foils for electrolytic capacitors. Exemplary methods of manufacturing an anode foil suitable for use in an electrolytic capacitor include disposing a resist material in a predetermined pattern on an exposed surface of an anode foil substrate such that a first portion of the exposed surface of the anode foil substrate is covered by the resist material, and a second portion of the exposed surface remains uncovered; polymerizing the resist material; exposing at least the second portion of the exposed surface to one or more etchants so as to form a plurality of tunnels; stripping the polymerized resist material; and widening at least a portion of the plurality of tunnels. The resist material may be deposited, for example, by ink-jet printing, stamping or screen printing.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: March 31, 2015
    Assignee: Pacesetter, Inc.
    Inventors: David R. Bowen, Ralph Jason Hemphill, Xiaofei Jiang, Corina Geiculescu, Tearl Stocker
  • Publication number: 20150060403
    Abstract: A method for manufacturing a fuel contacting component that facilitates reducing coke formation on at least one surface of the fuel contacting component is disclosed herein. The method includes applying a slurry composition including a powder including aluminum to the component surface, wherein the fuel contacting component is formed by an additive manufacturing process. The slurry composition is heat treated to diffuse the aluminum into the component surface. The heat treatment comprises forming a diffusion aluminide coating on the component surface, wherein the diffusion coating comprises a diffusion sublayer formed on the component surface and an additive sublayer formed on the diffusion sublayer. The method further comprises removing the additive sublayer of the diffusion aluminide coating with at least one aqueous solution such that the diffusion sublayer and the component surface are substantially unaffected, wherein the diffusion layer facilitates preventing coke formation on component surface.
    Type: Application
    Filed: September 5, 2013
    Publication date: March 5, 2015
    Applicant: General Electric Company
    Inventors: William Thomas Carter, James Anthony Ruud, Lawrence Bernard Kool, Justin John Gambone, Jr., Christine Mary Furstoss
  • Patent number: 8961855
    Abstract: A method of forming a high aspect ratio adhesive structure, the method comprising fabricating a porous template comprising at least a first tier and a second tier; introducing a softened polymer into the template; and separating the polymer from the template.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 24, 2015
    Assignee: Agency for Science, Technology and Research
    Inventors: Yoke Yee Audrey Ho, Isabel Rodriguez Fernandez
  • Publication number: 20150037897
    Abstract: A method of removing material from a sapphire article is described. In particular, the method comprises the step of providing an initial sapphire layer and reducing the thickness of the layer while not significantly increasing the surface roughness of the layer, Cover plates for electronic device and methods of preparing them are also disclosed, along with a method of analyzing a sapphire article produced by the present method.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 5, 2015
    Inventors: Gopal Prabhu, Venkatesan Murali, Daniel Squiller
  • Publication number: 20150037537
    Abstract: A method of removing material from a sapphire article is described. In particular, the method comprises the step of providing an initial sapphire layer and reducing the thickness of the layer while not significantly increasing the surface roughness of the layer. Cover plates for electronic device and methods of preparing them are also disclosed, along with a method of analyzing a sapphire article produced by the present method.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 5, 2015
    Inventors: Gopal Prabhu, Venkatesan Murali
  • Patent number: 8945415
    Abstract: A method is described for etching ceramic phosphor converters. The method includes contacting a surface of the converter with a solution of phosphor acid for a time sufficient to etch the converter. The method is applicable to ceramic phosphor converters comprising a phosphor having a general formula MxAlyOz:RE wherein M is a metal and RE is a rare earth element.
    Type: Grant
    Filed: June 14, 2013
    Date of Patent: February 3, 2015
    Assignee: OSRAM SYLVANIA Inc.
    Inventor: Alan Piquette
  • Patent number: 8926853
    Abstract: Aspects of the invention are directed to a method of forming graphene structures. Initially, a cluster of particles is received. The cluster of particles comprises a plurality of particles with each particle in the plurality of particles contacting one or more other particles in the plurality of particles. Subsequently, one or more layers are deposited on the cluster of particles with the one or more layers comprising graphene. The plurality of particles are then etched away without substantially etching the deposited one or more layers. Lastly, the remaining one or more layers are dried. The resultant graphene structures are particularly resistant to the negative effects of aggregation and compaction.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: January 6, 2015
    Inventors: Xin Zhao, Yu-Ming Lin
  • Patent number: 8921230
    Abstract: An etchant composition includes about 25 percent by weight to about 35 percent by weight of phosphoric acid, about 3 percent by weight to about 9 percent by weight of nitric acid, about 10 percent by weight to about 20 percent by weight of acetic acid, about 5 percent by weight to about 10 percent by weight of a nitrate, about 6 percent by weight to about 15 percent by weight of a sulfonic acid, about 1 percent by weight to about 5 percent by weight of an amine compound including a carboxyl group, about 0.1 percent by weight to about 1 percent by weight of a water-soluble amino acid, about 0.01 percent by weight to about 1 percent by weight of an azole compound, and water.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: December 30, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hong-Sick Park, Young-Jun Kim, Young-Woo Park, Wang-Woo Lee, Won-Guk Seo, Sam-Young Cho, Seung-Yeon Han, Gyu-Po Kim, Hyun-Cheol Shin, Ki-Beom Lee
  • Patent number: 8778209
    Abstract: An apparatus for altering a surface of a cylindrical object includes at least one container containing a fluid resist therein and having at least one opening from which the fluid resist is discharged; at least one roller operatively associated with the at least one container and having a surface sized and shaped to be exposed to the at least one opening, the surface area including a pattern of shapes and upon which the fluid resist is deposited; and at least one support member adjacent the at least one roller for supporting the cylindrical object during transit for contacting the at least one roller. A method is also provided.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: July 15, 2014
    Assignee: Linde Engineering North America Inc.
    Inventor: Benjamin P. L. Turner
  • Patent number: 8772174
    Abstract: A method for treating silicon to form pillars, especially for use as the active anode material in Li-ion batteries, is disclosed. The process is simple to operate on a commercial scale since it uses a solution containing only a small number of ingredients whose concentration needs to be controlled and it can be cheaper to operate than previous processes. The solution includes: 0.01 to 5M HF 0.002 to 0.2M of metal ions capable of nucleating on and forming a porous layer comprising regions of elemental metal on the silicon surface; 0.001 to 0.7M of an oxidant selected from the group O2, O3, H2O2, the acid, ammonium or alkali metal salt of NO3?, S2O82?, NO2?, B4O72? and ClO4? or a mixture thereof. The treated silicon is suitably removed from the solution.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: July 8, 2014
    Assignee: Nexeon Ltd.
    Inventors: Mino Green, Feng-Ming Liu, Yuxiong Jiang, Valerie Elizabeth Dawn Stevens, Benjamin Odarkwei Mills-Lamptey
  • Patent number: 8728941
    Abstract: Disclosed is a thin-film transistor (10) manufacturing method that includes a process for forming a nitrate film (12x) that includes residual nickel (22) on a surface thereof, by bringing a nitric acid solution into contact with a polysilicon layer (11x); and a process for removing the nitrate film (12x) that includes residual nickel (22) from the polysilicon layer (11x) surface. With this surface treatment process, a polysilicon layer (11) with reduced concentration of a surface residual nickel (22) is provided, and a thin-film transistor (10) having excellent surface smoothness is attained.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: May 20, 2014
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Shigeki Imai, Takafumi Shimatani, Hikaru Kobayashi
  • Patent number: 8641829
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: February 4, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoki Horita, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Publication number: 20140023827
    Abstract: An etching paste includes an acid compound, an organic binder, a nitrogen-containing component, the nitrogen-containing component including one or more of an amine compound or an ammonium compound, a cobalt aluminum oxide, and a solvent.
    Type: Application
    Filed: March 12, 2013
    Publication date: January 23, 2014
    Inventor: Jae Joon SHIM
  • Patent number: 8617417
    Abstract: Provided are a cleaning composition which is capable of inhibiting the metal of a semiconductor substrate from corrosion, and has an excellent removability of plasma etching residues and/or ashing residues on the semiconductor substrate, a method for producing a semiconductor device, and a cleaning method using the cleaning composition. The cleaning composition for removing plasma etching residues and/or ashing residues formed on a semiconductor substrate, and a preparation method and a cleaning method for a semiconductor device, using the cleaning composition, wherein the cleaning composition includes (Component a) water; (Component b) an amine compound; (Component c) hydroxylamine and/or a salt thereof; (Component d) a quaternary ammonium compound; (Component e) an organic acid; and (Component f) a water-soluble organic solvent; and has a pH of 6 to 9.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: December 31, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Tadashi Inaba, Kazutaka Takahashi, Tomonori Takahashi, Atsushi Mizutani
  • Patent number: 8618000
    Abstract: Methods and etchant compositions for wet etching to selectively remove a hafnium aluminum oxide (HfAlOx) material relative to silicon oxide (SiOx) are provided.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: December 31, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Prashant Raghu, Yi Yang
  • Patent number: 8557137
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, oxalic acid, optionally, tartaric acid, optionally, a nonionic surfactant, optionally, a biocide, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: October 15, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 8529780
    Abstract: The invention relates to a ceramic substrate material having a first layer having a cavity structure formed therein, and at least one sealing layer situated on at least a part of the cavity structure. The first layer comprises at least one first component made of a crystalline ceramic material and/or a glass material as a matrix, the first layer containing a second component made of a further crystalline ceramic material, with selected mantle areas of the crystals and/or crystal agglomerates of the second component being etched out in such a way that the cavity structure is provided (preferably in the form of a pore and/or tube structure). The sealing layer seals the surface of the first layer in the areas on which it is situated (e.g., above the cavity structure), allowing application of thin-film structures to the cavity structure.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: September 10, 2013
    Assignee: Micro Systems Engineering GmbH
    Inventors: Dieter Schwanke, Mirco Harnack, Achim Bittner, Ulrich Schmid
  • Patent number: 8506836
    Abstract: A method is provided for manufacturing a component. The method includes forming a diffusion coating on a first intermediate article formed by an additive manufacturing process. The diffusion coating is removed from the first intermediate article forming a second intermediate article having at least one enhanced surface. The diffusion coating is formed by applying a layer of coating material on at least one surface of the first intermediate article and diffusion heat treating the first intermediate article and the layer. The diffusion coating comprises a surface additive layer and a diffusion layer below the surface additive layer. The formation of the diffusion coating and removal thereof may be repeated at least once.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Honeywell International Inc.
    Inventors: Andy Szuromi, Daniel Ryan, Donald G. Godfrey, Mark C. Morris
  • Patent number: 8497215
    Abstract: The present invention relates to a method for the wet-chemical edge deletion of solar cells. An etching paste is applied to the edge of a solar cell substrate surface and after the reaction is complete, the paste residue is removed. Optionally, the substrate surface is cleaned and dried. The etching paste comprises 85% H3PO4, NH4HF2 and 65% HNO3 in a ratio in the range from 7:1:1.5 to 10:1:3.5, based on the weight.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: July 30, 2013
    Assignee: Merck Patent GmbH
    Inventors: Oliver Doll, Ingo Koehler
  • Patent number: 8461057
    Abstract: The present invention relates to a novel process for producing textured surfaces on multicrystalline, tricrystalline and monocrystalline silicon surfaces of solar cells or on silicon substrates which are used for photovoltaic purposes. It relates in particular to an etching process and an etching agent for producing a textured surface on a silicon substrate.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: June 11, 2013
    Assignee: BASF Aktiengesellschaft
    Inventors: Arnim Kuebelbeck, Claudia Zielinski, Thomas Goelzenleuchter
  • Patent number: 8419965
    Abstract: A method for texturing a glass substrate includes cleaning the glass substrate with at least one surfactant and etching the glass substrate using a caustic solution. The percentage of caustic solution is provided by controlling a fluid flow and temperature to control the depth of the etching. The method also includes acid cleaning the etched glass substrate to remove glass residuals and surfactants.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: April 16, 2013
    Assignee: Rockwell Collins, Inc.
    Inventors: Paul R. Nemeth, John W. Sackfield
  • Patent number: 8419953
    Abstract: A method for fabricating a transducer on a substrate is described. The transducer includes an antiferromagnetic seed structure. The antiferromagnetic seed structure includes a first NiFe layer, a first multilayer including a first Ru layer, a second NiFe layer, and a second multilayer including a second Ru layer. The second multilayer, the second NiFe layer and part of the first Ru layer are removed using a first wet etch, which uses a first etchant combination to remove NiFe and in which Ru is insoluble. The second Ru layer is removed through lift-off due to etching of the second NiFe layer. A remainder of the first Ru layer is removed through a second wet etch, which uses a second etchant combination to remove Ru. A remaining portion of the first multilayer and the first NiFe layer are removed through a third etch, which uses a third etchant combination that removes NiFe.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: April 16, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Wencheng Su, Zhigang Zhou, Jane Ang, Ming Jiang
  • Patent number: 8409455
    Abstract: Methods and devices for high-throughput manufacturing of a solar cell with a diode is provided.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: April 2, 2013
    Assignee: Nanosolar, Inc.
    Inventor: Wolf Oetting
  • Publication number: 20120312784
    Abstract: Provided are a surface roughening agent for aluminum and a surface roughening method using said surface roughening agent wherein it is possible to easily reduce costs for the surface roughening step and to improve the adhesiveness between aluminum and a resin. Specifically, provided is a surface roughening agent for aluminum comprising an aqueous solution containing: an alkali source, an amphoteric metal ion, a nitrate ion, and a thio compound. Moreover, provided is a surface roughening method for aluminum which involves a surface roughening step in which the surface of aluminum is treated with the aforementioned surface roughening agent.
    Type: Application
    Filed: November 8, 2010
    Publication date: December 13, 2012
    Applicant: MEC COMPANY LTD.
    Inventors: Ryo Ogushi, Minoru Otani
  • Patent number: 8324114
    Abstract: A method for removing silicon oxide based residue from a stack with a doped silicon oxide layer with features with diameters less than 100 nm is provided. A wet clean solution of between 25% to 60% by weight of NH4F, and between 0.05% and 5% by weight of phosphoric acid, and between 0.05% and 5% by weight citric acid, in a water solvent is provided to an area on a surface of the stack. The wet clean solution is removed from the area on the surface of the stack between 0.5 to 10 seconds after the area on the surface of the stack was exposed to the wet clean solution.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin
  • Patent number: 8303839
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers and metallization layers on the wafers comprising water and one or more Troika acids which is also referred to as ?,?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: November 6, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8288291
    Abstract: The invention provides a single-step method for removing bulk metal contamination from III-V semiconductor substrates. The method comprises immersing a metal contaminated III-V semiconductor substrate in a mixture of sulfuric acid and peroxide with a volume ratio of sulfuric acid to peroxide (e.g., hydrogen peroxide) between about 3:1 and about 9:1. After treating the III-V semiconductor substrates with the sulfuric acid-peroxide mixture, the bulk metal contamination may be substantially removed from the substrate while a surface roughness of the substrate after treatment of below about 0.5 nm RMS (2 ?m×2 ?m) is obtained. The invention further provides a method for manufacturing a semiconductor device by removing bulk metal contamination according to the single-step method of the invention before performing processing steps for forming the semiconductor device.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: October 16, 2012
    Assignee: IMEC
    Inventors: Sonja Sioncke, Marc Meuris
  • Patent number: 8283258
    Abstract: Methods and etchant compositions for wet etching to selectively remove a hafnium aluminum oxide (HfAlOx) material relative to silicon oxide (SiOx) are provided.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: October 9, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Prashant Raghu, Yi Yang
  • Patent number: 8257609
    Abstract: The present invention discloses an etchant for etching at least two different metal layers, the etchant comprising hydrogen peroxide (H2O2) and one of carboxylic acid, carboxylate salt, and acetyl group (CH3CO—). The present invention also discloses a method of fabricating a metal wiring on a substrate, the method comprising forming a first metal layer on a substrate, forming a second metal layer on the first metal layer, and simultaneously etching the first metal layer and the second metal layer with an etchant comprising hydrogen peroxide (H2O2) and one of carboxylic acid, carboxylate salt, and acetyl group (CH3CO—).
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: September 4, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Gee Sung Chae, Gyoo Chul Jo, Yong Sup Hwang
  • Patent number: 8236704
    Abstract: An etchant includes hydrogen peroxide (H2O2), and a mixed solution including at least one of an organic acid, an inorganic acid, and a neutral salt.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: August 7, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Gyoo-Chul Jo, Ki-Sung Chae
  • Patent number: 8226841
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, oxalic acid, optionally, tartaric acid, optionally, a nonionic surfactant, optionally, a biocide, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 24, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane