Etchant Contains Acid Patents (Class 216/108)
  • Patent number: 7629266
    Abstract: The invention includes an etchant composition containing isopropyl alcohol and one or more of HF, NH4F and tetramethyl ammonium fluoride (TMAF). The invention encompasses a method of processing a substrate. A substrate is provided which has a first material containing at least one of polysilicon, monocrystalline silicon and amorphous silicon, and a second material. The substrate is exposed to an etch composition which comprises isopropyl alcohol and at least one of HF, NH4F and TMAF. The invention includes a method of processing a semiconductor construction including providing a construction which has a capacitor electrode material and an oxide material along at least a portion of the capacitor electrode material. At least some of the oxide material is removed by isotropic etching utilizing an etchant composition comprising isopropyl alcohol.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo, Joseph Wiggins, Prashant Raghu
  • Patent number: 7629257
    Abstract: The invention concerns etching and doping substances free of hydrochloric/fluoride acid used for etching inorganic layers as well as for doping subjacent layers. The invention also concerns a method wherein said substances are used.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: December 8, 2009
    Assignee: Merck Patentgesellschaft
    Inventors: Sylke Klein, Armin Kübelbeck, Werner Stockum, Wilfried Schmidt, Berthold Schum
  • Publication number: 20090261065
    Abstract: Components entirely of ceramic with etched surfaces wherein the etched surface has a surface roughness value or at least about 100 microinches (about 2.54 microns) Ra, and methods of forming such.
    Type: Application
    Filed: April 17, 2009
    Publication date: October 22, 2009
    Applicant: Lam Research Corporation
    Inventors: HARMEET SINGH, John Daugherty, Vahid Vahedi, Hong Shih
  • Patent number: 7591955
    Abstract: A metal processing method includes etching to remove material from a thin metal part. A pattern of etch resistant material is used to prevent etching of the metal in desired locations. The etch resistant material is intentionally applied to unclean surfaces so that an adhesion between the etch resistant material and the metal will fail during the etching process. An edge is formed during etching at the boundaries of the pattern of the etch resistant material. These edges are rounded where the adhesion fails. A shaver foil is produced using the described metal processing method including a face side, a cutter side and a plurality of whisker holes. A face edge is formed where an etched profile of the whisker hole meets the face side and a cutter edge is formed where the etched profile of the whisker hole meets the cutter side. The face edge is rounded using the aforementioned process and the cutter edge is sharp using a conventional etch resistant material application method.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: September 22, 2009
    Assignee: Interplex NAS, Inc.
    Inventors: Raymond A. Frechette, David W. West, Christopher Machado, Christopher M. Sullivan
  • Patent number: 7588883
    Abstract: A method for forming a gate and a method for etching a conductive layer are provided. First, a substrate is provided, including a dielectric layer and a conductive layer on its surface in order. Subsequently, a patterned silicon nitride layer is formed on the conductive layer as a hard mask, and the hydrogen concentration of the patterned silicon nitride layer is more than 1022 atoms/cm3. Thereafter, the conductive layer and the dielectric layer are etched utilizing the hard mask as a mask. Finally, an etching solution is utilized to remove the hard mask.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: September 15, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Hsiu-Lien Liao
  • Patent number: 7582217
    Abstract: An etchant composition, and methods of patterning a conductive layer and manufacturing a flat panel display device using the same are provided. The etchant composition may include phosphoric acid, nitric acid, acetic acid, water and an additive, wherein the additive includes a chlorine-based compound, a nitrate-based compound, and an oxidation regulator. In addition, the flat panel display device may be manufactured by patterning a gate electrode, source/drain electrodes and a pixel electrode using the same etchant composition. The gate electrode, source/drain electrodes and the pixel electrode may be formed of different conductive materials. Accordingly, processes are simplified so that manufacturing costs may be reduced and productivity may be improved.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: September 1, 2009
    Assignee: LG Display Co., Ltd.
    Inventors: Kyoung Mook Lee, Kye Chan Song
  • Patent number: 7578889
    Abstract: Systematic and effective methodology to clean capacitively coupled plasma reactor electrodes and reduce surface roughness so that the cleaned electrodes meet surface contamination specifications and manufacturing yields are enhanced. Pre-cleaning of tools used in the cleaning process helps prevent contamination of the electrode being cleaned.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: August 25, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Yaobo Yin, Shun Jackson Wu, Armen Avoyan, John E. Daugherty, Linda Jiang
  • Patent number: 7560037
    Abstract: A microelectromechanical structure is formed by depositing sacrificial and structural material over a substrate to form a structural layer on a component electrically attached with the substrate. The galvanic potential of the structural layer is greater than the galvanic potential of the component. At least a portion of the structural material is covered with a protective material that has a galvanic potential less than or equal to the galvanic potential of the component. The sacrificial material is removed with a release solution. At least one of the protective material and release solution is surfactanated, the surfactant functionalizing a surface of the component.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: July 14, 2009
    Assignee: Altera Corporation
    Inventors: Bevan Staple, Jillian Buriak
  • Patent number: 7553345
    Abstract: A microwaviness reducing agent for polishing a substrate for a precision part, containing either a surfactant having two or more ionic hydrophilic groups, or a polycarboxylic acid compound having 2 to 15 total carbon atoms and having either OH group or groups or SH group or groups, or a salt thereof; a polishing composition for a substrate for a precision part, containing the microwaviness reducing agent, an abrasive and water; a polishing composition comprising water, an abrasive, an organic acid or a salt thereof, and a surfactant, wherein the organic acid is a polycarboxylic acid compound having 2 to 15 total carbon atoms and having either OH group or groups or SH group or groups, and wherein the surfactant has two or more ionic hydrophilic groups in its molecule and has a molecular weight of 300 or more; a method of reducing microwaviness of a substrate for a precision part; and a method for manufacturing a substrate for a precision part.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 30, 2009
    Assignee: KAO Corporation
    Inventors: Hiroaki Kitayama, Shigeo Fujii
  • Publication number: 20090148335
    Abstract: A process for treating metal to remove oxidation or contaminants or prepare the metal for processing without unduly damaging the metal. A formulation of sulfuric acid, water, and either ammonia or a sulfate salt of ammonia (or both) is used to treat the metal. The sulfuric acid is effective in removing impurities and contaminants, while the ammonia or ammonia salt reduces the otherwise damaging effect sulfuric acid has on metal.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 11, 2009
    Inventors: Richard E. Adair, Andreas Lindert
  • Publication number: 20090136771
    Abstract: Compositions for preparing a surface which, when applied to a variety of substrates provides improved adhesion performance for applying a coating. The compositions are aqueous mixtures containing an effective amount of an acid, iron, and zinc and methods of making and using same.
    Type: Application
    Filed: November 26, 2008
    Publication date: May 28, 2009
    Inventors: Ronald J. Evans, Kevin Halstead
  • Publication number: 20090098402
    Abstract: Disclosed are a nanocrater catalyst in metal nanoparticles with a nanocrater form of hole structure in center of the catalyst which is useful for manufacturing nano-sized materials and/or articles with desired structure and characteristics, a preparation method thereof including a plasma etching and chemical etching process (“PTCE process”), and nano-sized materials and/or articles manufactured by using the nanocrater catalyst in metal nanoparticles.
    Type: Application
    Filed: December 12, 2007
    Publication date: April 16, 2009
    Inventors: Jeung-Ku Kang, Augustine Saji, Jung-Woo Lee, Weon-Ho Shin, Kyu-Sung Han, Jung-Hoon Choi
  • Patent number: 7513986
    Abstract: A method and a device for locally removing coatings from components. An absorbent medium supplied with a coating removal liquid is brought into contact with one or more areas of a component from which a coating is to be removed.
    Type: Grant
    Filed: April 19, 2002
    Date of Patent: April 7, 2009
    Assignee: MTU Aero Engines GmbH
    Inventors: Anton Albrecht, Armin Eberlein, Karsten Gold, Albert Schneider
  • Publication number: 20090078679
    Abstract: The present invention provides etching solution for alloy steel such as stainless steel using ferric chloride, in which ferric chloride can be regenerated without carrying out an operation of removing chromium and nickel and while suppressing an increase in the amount of the etching solution, and an etching method using the etching solution. The etching solution is etching solution comprising ferric chloride and chromium ions or nickel ions, or etching solution comprising ferric chloride, chromium ions and nickel ions and comprising each type of metal ions in a metal composition ratio approximately equal to a metal composition ratio in alloy steel to be etched. The etching method is a method for etching alloy steel with etching solution comprising ferric chloride and comprising each type of metal ions in a metal composition ratio approximately equal to a metal composition ratio in the alloy steel.
    Type: Application
    Filed: February 23, 2007
    Publication date: March 26, 2009
    Applicants: TSURUMI SODA CO., LTD, TOAGOSEI, CO., LTD
    Inventors: Yasuo Nishimura, Nobuo Kanayama
  • Patent number: 7497963
    Abstract: In this etching method, since an etching gas is introduced before introduction of free radicals into a processing chamber, the etching gas has been adsorbed on the surface of substrates when the free radicals are introduced. Accordingly, the free radicals react with the etching gas adsorbed on the surface of the substrates, and the reaction proceeds uniformly on the surface of the substrate. As a result, nonuniform etching does not occur on the surface of the substrate. Moreover, since the reaction between the etching gas and the free radicals occurs on the surface of the substrate, an intermediate product produced according to the reaction between the etching gas and the free radicals reacts with an etching object promptly. Therefore, the intermediate product is not exhausted from the processing chamber 12 excessively, and hence the etching efficiency is high.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: March 3, 2009
    Assignees: Samsung Electronics Co., Ltd., ULVAC, Inc.
    Inventors: Kwang-Myung Lee, Ki-Young Yun, Seung-Ki Chae, No-Hyun Huh, Wan-Goo Hwang, Jung-Hyun Hwang, Shinji Yanagisawa, Kengo Tsutsumi, Seiichi Takahashi
  • Patent number: 7491341
    Abstract: Methods of forming electrospray ionization emitter tips are disclosed herein. In one embodiment, an end portion of a capillary tube can be immersed into an etchant, wherein the etchant forms a concave meniscus on the outer surface of the capillary. Variable etching rates in the meniscus can cause an external taper to form. While etching the outer surface of the capillary wall, a fluid can be flowed through the interior of the capillary tube. Etching continues until the immersed portion of the capillary tube is completely etched away.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: February 17, 2009
    Assignee: Battelle Memorial Institute
    Inventors: Ryan T. Kelly, Jason S. Page, Keqi Tang, Richard D. Smith
  • Publication number: 20090008365
    Abstract: The present invention concerns a process for etching metal by contacting the metal with a solution comprising hydrogen chloride and a persulfate salt; wherein the solution has a hydrogen chloride concentration of about 3 to about 11.7 moles/liter and a molar ratio of hydrogen chloride to persulfate salt of about 4:1 to about 134:1, wherein the persulfate salt is dissolved in the solution with the use of heat input.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 8, 2009
    Applicant: DEPUY PRODUCTS, INC.
    Inventors: Weidong Tong, Lawrence Salvati
  • Publication number: 20080283503
    Abstract: A method of processing nature pattern on expitaxial substrate, unlike the conventional method of processing regular pattern on expitaxial substrate (such as sapphire substrate) by lithography, wet etches a sapphire substrate directly to obtain a nature pattern, so as to simplify the fabrication process. Compared with the conventional way of processing pattern sapphire, the nature pattern sapphire substrate produced by the method can avoid voids between the interface of sapphire and GaN and apply this technology to a wired bond LED structure to increase the sidewall light extraction and improve the texture of the sapphire surface of a flip chip LED structure. In addition, this method also can be applied to a thin-GaN LED for achieving the surface texture after the sapphire is removed by laser.
    Type: Application
    Filed: May 14, 2007
    Publication date: November 20, 2008
    Inventors: Cheng-yi Liu, Yi-ju Chen, Shih-Chieh Hsu, Ching-Liang Lin
  • Publication number: 20080254291
    Abstract: Disclosed is a method to construct a device that includes a plurality of nanowires (NWs) each having a core and at least one shell. The method includes providing a plurality of radially encoded NWs where each shell contains one of a plurality of different shell materials; and differentiating individual ones of the NWs from one another by selectively removing or not removing shell material within areas to be electrically coupled to individual ones of a plurality of mesowires (MWs). Also disclosed is a nanowire array that contains radially encoded NWs, and a computer program product useful in forming a nanowire array.
    Type: Application
    Filed: February 2, 2006
    Publication date: October 16, 2008
    Inventors: Andre Dehon, Charles M. Lieber, John E. Savage, Eric Rachlin
  • Publication number: 20080254469
    Abstract: Described herein are methods for testing an aged surface on an implant, methods for regenerating a hydrophilic and osteophilic surface on the implant and kits therefor.
    Type: Application
    Filed: August 15, 2006
    Publication date: October 16, 2008
    Applicant: The Regents of the University of California
    Inventor: Takahiro Ogawa
  • Patent number: 7425278
    Abstract: An etchant which includes an aqueous solution of between about 30% and about 38% concentrated hydrogen peroxide, said percentages being by volume, based on the total volume of the solution; between about 3.5 ml and about 20 ml per liter of phosphoric acid; and an amount of potassium hydroxide to adjust the pH of the solution to between about 7.8 and about 9.1. The etchant is useful in removing a layer of an alloy of titanium and tungsten or a layer of tungsten from a precision surface.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: September 16, 2008
    Assignee: International Business Machines Corporation
    Inventors: Krystyna Waleria Semkow, Anurag Jain, Kamalesh K. Srivastava
  • Patent number: 7422696
    Abstract: Multicomponent nanorods having segments with differing electronic and/or chemical properties are disclosed. The nanorods can be tailored with high precision to create controlled gaps within the nanorods or to produce diodes or resistors, based upon the identities of the components-making up the segments of the nanorods. Macrostructural composites of these nanorods also are disclosed.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: September 9, 2008
    Assignee: Northwestern University
    Inventors: Chad A. Mirkin, Lidong Qin, Sungho Park, Ling Huang, Sung-Wook Chung
  • Patent number: 7404910
    Abstract: An etching solution which contains hydrogen fluoride (HF) and exhibits an etching rate ratio: etching rate for a boron-glass film (BSG) or boron-phosphorus-glass film (BPSG)/etching rate for a thermally oxidized film (THOX) of 10 or more at 25° C.
    Type: Grant
    Filed: November 22, 1999
    Date of Patent: July 29, 2008
    Assignee: Daikin Industries, Ltd.
    Inventors: Takehiko Kezuka, Makoto Suyama, Mitsushi Itano
  • Patent number: 7399430
    Abstract: It is an object of the present invention to control damage of a phosphor caused by an etching solution. Disclosed is a method of manufacturing a phosphor having the steps of: (a) crushing phosphor particles via a crushing treatment process, and (b) surface-treating phosphor particles dispersed in a solvent by adding an etching solution via a surface treatment process, wherein an adding speed of the etching solution is in a range of 1.2×10?16-7.0×10?15 mol/min. per 1 m2 of specific surface area of the phosphor particles.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: July 15, 2008
    Assignee: Konica Minolta Medical & Graphic, Inc.
    Inventor: Kazuyoshi Goan
  • Publication number: 20080142484
    Abstract: The present invention provides an auxiliary method for wet etching by oscillation flow modification and an device for the same. The method for wet etching by oscillation flow modification includes steps of providing a metallic substrate, etching the metallic substrate with an etchant, and oscillating the etchant during etching the metallic substrate.
    Type: Application
    Filed: June 22, 2007
    Publication date: June 19, 2008
    Inventor: Wang Ming-Wen
  • Patent number: 7368065
    Abstract: Compositions and methods are provided for preparing a metal substrate having a uniform textured surface with a plurality of indentations with a diameter in the nanometer and micrometer range. The textured surface is produced by exposing the substrate to an etching fluid comprising a hydrohalic acid and a mixture of a hydrohalic acid and an oxyacid, a chloride containing compound, and an oxidant. The etching solution can be used at ambient temperature. This textured surface enhances adherence of coatings or cells onto the textured surface, improves the retention of proteins on the surface, and encourages bone in-growth.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: May 6, 2008
    Assignee: DePuy Products, Inc.
    Inventors: Xiaofan Yang, Panjian Li, Todd Smith
  • Publication number: 20080067149
    Abstract: The present invention relates to the use of a mixture of urea and one or more alkane-diphosphonic acids, optionally substituted with one or more hydroxyl or amino groups, or salts thereof for the stabilization of an acidic, metal- and peroxide-containing polishing solution. The invention also relates to peroxide-containing solutions that are suitable for the stabilization of acidic, metal- and peroxide-containing polishing baths, and acidic, peroxide-containing polishing solutions that contain the stabilizer mixture according to the invention. A method of chemical polishing of metal surfaces using the stabilizer according to the invention is also described.
    Type: Application
    Filed: September 19, 2007
    Publication date: March 20, 2008
    Applicant: POLIGRAT GMBH
    Inventors: Siegfried PIESSLINGER-SCHWEIGER, Olaf BOHME
  • Patent number: 7316784
    Abstract: A method of patterning a transparent conductive film adaptive for selectively etching a transparent conductive film without any mask processes, a thin film transistor for a display device using the same and a fabricating method thereof are disclosed. In the method of patterning the transparent conductive film, an inorganic material substrate is prepared. An organic material pattern is formed at a desired area of the inorganic material substrate. A thin film having a different crystallization rate depending upon said inorganic material and said organic material is formed. The thin film is selectively etched in accordance with said crystallization rate.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: January 8, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Byung Chul Ahn, Byoung Ho Lim, Byeong Dae Choi
  • Patent number: 7306681
    Abstract: A cleaning method and cleaning recipes are disclosed. The present invention relates to a method for cleaning a semiconductor substrate and cleaning recipes. The present invention utilizes a first cleaning solution including diluted hydrofluoric acid and a second cleaning solution including hydrogen chloride and hydrogen peroxide (H2O2) to clean a semiconductor substrate without using an alkaline solution including ammonium hydroxide. Accordingly, a clean surface of a semiconductor substrate is provided in selective epitaxial growth (SEG) process to grow an epitaxial layer with smooth surface.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: December 11, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Ya-Lun Cheng, Yi-Chia Lee, Yu-Ren Wang, Neng-Hui Yang
  • Patent number: 7285229
    Abstract: An etchant of the present invention includes an aqueous solution containing hydrochloric acid, nitric acid, and a cupric ion source. An etching method of the present invention includes bringing the etchant into contact with at least one metal selected from nickel, chromium, nickel-chromium alloys, and palladium. Another etching method of the present invention includes bringing a first etchant that includes an aqueous solution containing at least the following components A to C (A. hydrochloric acid; B. at least one compound selected from the following (a) to (c): (a) compounds with 7 or less carbon atoms, containing a sulfur atom(s) and at least one group selected from an amino group, an imino group, a carboxyl group, a carbonyl group, and a hydroxyl group; (b) thiazole; and (c) thiazole compounds; and C.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: October 23, 2007
    Assignee: MEC Company, Ltd.
    Inventors: Masayo Kuriyama, Ryo Ogushi, Daisaku Akiyama, Kaoru Urushibata
  • Patent number: 7276175
    Abstract: A semiconductor device fabrication method comprises (1) forming a patterned mask layer on an oxide layer of a Mn-containing perovskite type oxide; (2) heat-treating the oxide layer; and (3) patterning the oxide layer with an etching solution containing at least one of hydrochloric acid, sulfuric acid, and nitric acid after the heat treatment of the oxide layer.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: October 2, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takuya Otabe
  • Patent number: 7276449
    Abstract: A method for moving resist stripper across the surface of a semiconductor substrate includes applying a wet chemical resist stripper, such as an organic or oxidizing wet chemical resist stripper, to at least a portion of a photomask positioned over the semiconductor substrate. A carrier fluid, such as a gas, is then directed toward the semiconductor substrate so as to move the resist stripper across the substrate. The carrier fluid may be directed toward the substrate as the resist stripper is being applied thereto or following application of the resist stripper. A system for effecting the method is also disclosed.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: October 2, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 7270764
    Abstract: A method for selectively removing an aluminide coating from at least one surface of a metal-based substrate by: (a) contacting the surface of the substrate with at least one stripping composition comprising nitric acid at a temperature less than about 20° C. to degrade the coating without damaging the substrate; and (b) removing the degraded coating without damaging the substrate. Also disclosed is a method for replacing a worn or damaged aluminide coating on at least one surface of a metal-based substrate by selectively removing the coating using the above steps, and then applying a new aluminide coating to the surface of the substrate. Turbine engine parts, such as high-pressure turbine blades, treated using the above methods are also disclosed.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: September 18, 2007
    Assignee: General Electric Company
    Inventors: Roger Dale Wustman, Mark Alan Rosenzweig, William Clarke Brooks, Brian H. Pilsner, James Douglas Risbeck, Richard Roy Worthing, Jr.
  • Patent number: 7238291
    Abstract: This invention relates to a method for removing oxides from the surface of a Ge semiconductor substrate comprising the step of subjecting the surface to a Ge oxide etching solution characterized in that the Ge oxide etching solution removes Ge oxides and Ge sub-oxides from the surface.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: July 3, 2007
    Assignee: Interuniversitair Microelektronica Centrum (IMEC)
    Inventors: Bart Onsia, Ivo Teerlinck
  • Patent number: 7226513
    Abstract: This invention provides a cleaning method of silicon wafer for obtaining a silicon wafer in which micro roughness thereof under spatial frequency of 20/?m is 0.3 to 1.5 nm3 in terms of power spectrum density, by passing a process of oxidizing the silicon wafer with ozonized water and a process of cleaning said oxidized silicon wafer with hydrofluoric acid. Consequently, it is possible to remove surface adhering pollutant such as particles and metallic foreign matter with the surface structure of silicon wafer flattened up to atomic level by annealing maintained.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: June 5, 2007
    Assignee: Toshiba Ceramics Co., Ltd.
    Inventors: Hisatsugu Kurita, Manabu Hirasawa, Hiromi Nagahama, Koji Izumome, Takao Ino, Jyunsei Yamabe, Naoya Hayamizu, Naoaki Sakurai
  • Patent number: 7217464
    Abstract: The object is to provide a method of manufacturing electrodeposited copper foil with a carrier foil for high-temperature heat-resistance in which the peeling of the carrier foil is easy even by press working at temperatures of not less than 200°C.
    Type: Grant
    Filed: May 12, 2003
    Date of Patent: May 15, 2007
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Akitoshi Takanashi, Kenichiro Iwakiri, Akiko Sugimoto, Junshi Yoshioka, Shinichi Obata, Makoto Dobashi
  • Patent number: 7192489
    Abstract: A method for removing polymer containing residues from a semiconductor wafer including metal containing features including providing a semiconductor wafer having a process surface including metal containing features said process surface at least partially covered with polymer containing residues; and, subjecting the semiconductor wafer to a series of cleaning steps including sequentially exposing the process surface to at least one primary solvent and at least one intermediate solvent the at least one intermediate solvent comprising an ammonium nitrate containing solution.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: March 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Hsin Lo, Fei-Yun Chen
  • Patent number: 7115212
    Abstract: A method of etching a metal oxide film includes depositing a metal (M) on the metal oxide film and contacting the metal oxide film and the metal (M) with an etch liquid comprising an acid (A) and a metal dissolution agent (X). The method can avoid patchwise etch.
    Type: Grant
    Filed: June 6, 2003
    Date of Patent: October 3, 2006
    Assignee: Feldman Technology Corporation
    Inventors: Douglas McLean, Bernard Feldman
  • Patent number: 7087183
    Abstract: A method for removing a thin metallic layer using an etchant solution is provided for essentially eliminating undercutting in a thin metallic layer such as a seed layer in a magnetic recording head. The etchant solution has a suitable solvent additive such as glycerol or methyl cellulose. With suitable solvent additives, which generally increase solvent viscosity, lateral etching rates are similar to surface etch rates and undercutting is essentially eliminated.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventor: Peter Beverley Powell Phipps
  • Patent number: 7077975
    Abstract: A method and composition for removing Group VIII metal-containing materials from a surface (preferably, a platinum-containing, and more preferably, a platinum-rhodium-containing surface) involves the use of a mixture of phosphoric acid, sulfuric acid, nitric acid, and hydrochloric acid.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Morgan
  • Patent number: 7069645
    Abstract: A method for producing a circuit board having a metal circuit pattern on an insulating substrate is provided, including the steps of joining a metal plate onto a surface of the insulating substrate using a hard brazing member containing an active element and removing unnecessary conductive layer portions adjacent a metal circuit pattern of the metal plate to at least partially expose a portion of the surface of the insulating substrate.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: July 4, 2006
    Assignee: NGK Insulators, Ltd.
    Inventors: Takahiro Ishikawa, Masahiro Kida, Shuhei Ishikawa, Nobuaki Nakayama
  • Patent number: 7067015
    Abstract: A cleaning chemistry for lowering defect levels on the backside of a semiconductor wafer after chemical mechanical planarization (CMP). In a preferred embodiment of the present invention, a cleaning chemistry comprising nitric acid, hydrofluoric acid, and phosphoric acid in solution with deionized water is applied to the wafer surface to be cleaned preferably while subjected to megasonic assist cleaning. The wafer is preferably then subjected to brush scrubbing and a deionized water rinse with megasonic assist cleaning.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: June 27, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Changfeng Xia, Linlin Chen
  • Patent number: 7041231
    Abstract: A method of refurbishing a transition duct (100) for a gas turbine system includes providing the transition duct. The transition duct has a first wall (221) defining a first passageway (110) and having holes (223) through a metal layer (322) and a ceramic layer (323), and the transition duct also has a second wall (222) adjacent to and separate from the first wall and external to the first passageway, where the first and second walls define a second passageway (210) coupled to the first passageway through the holes in the first wall. The method further includes masking the holes in the first wall, sealing the first passageway, and after sealing the first passageway, using a liquid etchant to chemically remove the ceramic layer from the first wall while keeping the liquid etchant out of the second passageways.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: May 9, 2006
    Assignee: Triumph Brands, Inc.
    Inventors: Henry V. Holmes, Alan C. Banner, Brenton L. Blanche, Paul H. Coleman, Jeffery R. Schenk, Richard J. Stueber
  • Patent number: 7033519
    Abstract: A sub-micron structure is fabricated in a transparent dielectric material by focusing femtosecond laser pulses into the dielectric to create a highly tapered modified zone with modified etch properties. The dielectric material is then selectively etched into the modified zone from the direction of the narrow end of the tapered zone so that as the selective etching proceeds longitudinally into the modified zone, the progressively increasing width of the modified zone compensates for lateral etching occurring closer to the narrow end so as to produce steep-walled holes. The unetched portion of the modified zone produced by translating the laser beam close to and parallel to the bottom surface of the dielectric can serve as an optical waveguide to collect light from or deliver light to the etched channel which can contain various biological, optical, or chemical materials for sensing applications.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: April 25, 2006
    Assignee: National Research Council of Canada
    Inventors: Rod Taylor, Cyril Hnatovsky, Paul Corkum, David Rayner, Ravi Bhardwaj
  • Patent number: 7022254
    Abstract: Non-chromate solutions for treating and/or etching metals, particularly, aluminum, aluminum alloys, steel and titanium, and method of applying same wherein the solutions include either a titanate or titanium dioxide as a “drop-in replacement” for a chromium-containing compound in a metal surface etching solution that otherwise would contain chromium.
    Type: Grant
    Filed: October 6, 2003
    Date of Patent: April 4, 2006
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Wayne C. Tucker, Maria G. Medeiros, Richard Brown
  • Patent number: 7001533
    Abstract: Non-chromate solutions for treating and/or etching metals, particularly, aluminum, aluminum alloys, steel and titanium, and method of applying same wherein the solutions include either a titanate or titanium dioxide as a “drop-in replacement” for a chromium-containing compound in a metal surface etching solution that otherwise would contain chromium.
    Type: Grant
    Filed: October 6, 2003
    Date of Patent: February 21, 2006
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Wayne C. Tucker, Maria G. Medeiros, Richard Brown
  • Patent number: 6949164
    Abstract: Methods of bonding glass and silicon-containing articles are disclosed. Bonding is achieved without use of adhesives or high temperature fusion. A wide variety of glass and silicon-containing articles may be bonded by the methods of the invention.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: September 27, 2005
    Assignee: Corning Incorporated
    Inventor: Robert Sabia
  • Patent number: 6913701
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: July 5, 2005
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6908561
    Abstract: Methods for adhering polyimide dielectric materials to copper-, titanium-, aluminum-, or copper-and-titanium-containing portions of a substrate are described. The methods include the steps of applying adhesion promoter to a clean surface of the substrate, and curing the adhesion promoter. SPIE varnish is applied over the cured adhesion promoter, and is itself cured. A further layer of adhesion promoter is applied over the cured SPIE varnish, and is cured. The polyimide dielectric material is then laminated to the adhesion promoter. Cleaning of the copper-containing substrate portions is performed by etching with etchant including cupric chloride, cleaning of the titanium-containing substrate portions is performed with etchant including HF, and cleaning of copper- and titanium-containing portions is performed by HF etching followed by cupric chloride etching. Aluminum-containing portions of the substrate are not etched.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: June 21, 2005
    Assignee: Lockhead Martin Corporation
    Inventors: Donald Franklin Foust, William Francis Nealon, Robert G. Davies, Jr., Charles E. Crepeau
  • Patent number: 6902591
    Abstract: A polishing composition comprising an abrasive, water and an organic acid or a salt thereof, wherein the composition has a specified viscosity of from 1.0 to 2.0 mPa·s at a shearing rate of 1500 s?1 and 25° C.; a roll-off reducing agent comprising a Brönsted acid or a salt thereof, having an action of lowering viscosity so that the amount of viscosity lowered is 0.01 mPa·s or more, wherein the amount of viscosity lowered is expressed by the following equation: (Amount of Viscosity Lowered)=(Viscosity of Standard Polishing Composition)?(Viscosity of Roll-Off Reducing Agent-Containing Polishing Composition), wherein the standard polishing composition is prepared which comprises 20 parts by weight of an abrasive, said abrasive being high-purity alumina having Al2O3 purity of 98.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: June 7, 2005
    Assignee: Kao Corporation
    Inventors: Hiroaki Kitayama, Shigeo Fujii, Yoshiaki Oshima, Toshiya Hagihara