Etching Of Semiconductor Material To Produce An Article Having A Nonelectrical Function Patents (Class 216/2)
  • Patent number: 7045278
    Abstract: A method of making an array of corner cubes includes the steps of: preparing a substrate, at least a surface portion of which is made of a cubic crystalline material and which has a surface that is substantially parallel to {111} planes of the crystalline material; and patterning the surface of the substrate such that a plurality of solid shape elements defines a predetermined pattern on the surface of the substrate. Each of the solid shape elements is defined by a number of concave portions, a number of convex portions or a combination of concave and convex portions. The method further includes the step of supplying a first active species, including an element that is contained in the crystalline material, onto the substrate on which the solid shape elements have been formed.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: May 16, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Ichiro Ihara, Kiyoshi Minoura
  • Patent number: 7041224
    Abstract: The etching of a material in a vapor phase etchant is disclosed where a vapor phase etchant is provided to an etching chamber at a total gas pressure of 10 Torr or more, preferably 20 Torr or even 200 Torr or more. The vapor phase etchant can be gaseous acid etchant, a noble gas halide or an interhalogen. The sample/workpiece that is etched can be, for example, a semiconductor device or MEMS device, etc. The material that is etched/removed by the vapor phase etchant is preferably silicon and the vapor phase etchant is preferably provided along with one or more diluents. Another feature of the etching system includes the ability to accurately determine the end point of the etch step, such as by creating an impedance at the exit of the etching chamber (or downstream thereof) so that when the vapor phase etchant passes from the etching chamber, a gaseous product of the etching reaction is monitored, and the end point of the removal process can be determined.
    Type: Grant
    Filed: March 22, 2002
    Date of Patent: May 9, 2006
    Assignee: Reflectivity, Inc.
    Inventors: Satyadev R. Patel, Gregory P. Schaadt, Douglas B. MacDonald, Hongqin Shi, Andrew G. Huibers, Peter Heureux
  • Patent number: 7041225
    Abstract: A method of manufacturing a micromechanical component having the following steps is described: providing a substrate (1); providing a first micromechanical functional layer (5) on the sacrificial layer (4); structuring the first micromechanical functional layer (5) in such a manner that it is provided with a mobilizable sensor structure (6); providing and structuring a first sealing layer (8) on the structured first micromechanical functional layer (5); providing and structuring a second micromechanical functional layer (10) on the first sealing layer (8) which has at least a covering function and is at least partially anchored in the first micromechanical functional layer (5); making the sensor structure (6) movable and providing a second sealing layer (8) on the second micromechanical functional layer (10). A corresponding micromechanical component is also described.
    Type: Grant
    Filed: March 10, 2001
    Date of Patent: May 9, 2006
    Assignee: Robert Bosch GmbH
    Inventor: Markus Lutz
  • Patent number: 7037438
    Abstract: A method is for producing a semiconductor component, e.g., a multilayer semiconductor element, e.g., a micromechanical component, e.g., a pressure sensor, having a semiconductor substrate, e.g., made of silicon, and a semiconductor component produced according to the method. To reduce the production cost of such a semiconductor component, in a first step a first porous layer is produced in the semiconductor component, and in a second step a hollow or cavity is produced under or from the first porous layer in the semiconductor component, with the hollow or cavity capable of being provided with an external access opening.
    Type: Grant
    Filed: April 20, 2001
    Date of Patent: May 2, 2006
    Assignee: Robert Bosch GmbH
    Inventors: Hubert Benzel, Heribert Weber, Hans Artmann, Frank Schaefer
  • Patent number: 7033515
    Abstract: A method is for manufacturing a microstructure having a thin-walled portion with use of a material substrate. The material substrate has a laminated structure which includes a first conductor layer 101, a second conductor layer 102, a third conductor layer 103, a first insulating layer 104 interposed between the first conductor layer and the second conductor layer, and a second insulating layer 105 interposed between the second conductor layer and the third conductor layer. The first insulating layer is patterned to have a first masking part for covering a thin-wall forming region of the second conductor layer. The second insulating layer is patterned to have a second masking part for covering the thin-wall forming region of the second conductor layer.
    Type: Grant
    Filed: October 17, 2003
    Date of Patent: April 25, 2006
    Assignees: Fujitsu Limited, Fujitsu Media Devices Limited
    Inventors: Norinao Kouma, Yoshihiro Mizuno, Osamu Tsuboi, Hisao Okuda, Hiromitsu Soneda, Satoshi Ueda, Ippei Sawaki, Yoshitaka Nakamura
  • Patent number: 7033514
    Abstract: This invention relates to a method and apparatus for forming a micromachined device, where a workpiece is plasma etched to define a microstructure. The plasma etching is conducted in the presence of a magnetic field, which can be generated and manipulated by an electric field. The magnetic field effects the electrons present in the plasma by directing them to “collect” on a desired plane or surface of the workpiece. The electrons attract the ions of the plasma to etch the desired region of the a workpiece to a greater extent than other regions of the workpiece, thereby enabling the formation of more precise “cuts” in the workpiece to form specific shapes of microstructures. The magnetic field can be controlled in direction and intensity and substrate bias power can also be controlled during etching to precisely and accurately etch the workpiece.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Neal Rueger
  • Patent number: 7033519
    Abstract: A sub-micron structure is fabricated in a transparent dielectric material by focusing femtosecond laser pulses into the dielectric to create a highly tapered modified zone with modified etch properties. The dielectric material is then selectively etched into the modified zone from the direction of the narrow end of the tapered zone so that as the selective etching proceeds longitudinally into the modified zone, the progressively increasing width of the modified zone compensates for lateral etching occurring closer to the narrow end so as to produce steep-walled holes. The unetched portion of the modified zone produced by translating the laser beam close to and parallel to the bottom surface of the dielectric can serve as an optical waveguide to collect light from or deliver light to the etched channel which can contain various biological, optical, or chemical materials for sensing applications.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: April 25, 2006
    Assignee: National Research Council of Canada
    Inventors: Rod Taylor, Cyril Hnatovsky, Paul Corkum, David Rayner, Ravi Bhardwaj
  • Patent number: 7034984
    Abstract: Fabrication of a micro mirror array having a hidden hinge that is useful, for example, in a reflective spatial light modulator. In one embodiment, the micro mirror array is fabricated from a substrate that is a first substrate of a single crystal material. Cavities are formed in a first side of the first substrate. Separately, electrodes and addressing and control circuitry are fabricated on a first side of a second substrate. The first side of the first substrate is bonded to the first side of the second substrate. The sides are aligned so the electrodes on the second substrate are in proper relation with the mirror plates that will be formed on the first substrate and that the electrodes will control.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: April 25, 2006
    Assignee: Miradia Inc.
    Inventors: Shaoher X. Pan, Xiao (Charles) Yang
  • Patent number: 7035496
    Abstract: A drive unit for controlling optical paths of an optical switch having at least one optical switch element and at least one pair of electrodes arranged near the optical switch element, each pair including a first electrode and a second electrode provided at a position opposite to the first electrode. The drive unit includes at least one drive circuit for the at least one optical switch element, respectively. The drive circuit has an output for supplying voltages to the electrodes to generate electrostatic forces between the electrodes and the optical switch element and the voltages are separated into positive voltages supplied to the first electrode and negative voltages supplied to the second electrode.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: April 25, 2006
    Assignee: Fujitsu Limited
    Inventors: Shinji Yamashita, Kazuyuki Mori
  • Patent number: 7034982
    Abstract: A plastically deformable element of a microelectromechanical device is strained so as to improve the lifetime of the microelectromechanical device. The element of the device can be strained by deforming the element into a deformed state and holding the element at the deformed state for a particular time period so as to acquire an amount of plastic deformation. The operation states of the device are calibrated according to the states before straining and the acquired plastic deformation. After then, the device is operated in the calibrated states.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: April 25, 2006
    Assignee: Reflectivity, Inc
    Inventor: Jonathan Doan
  • Patent number: 7029830
    Abstract: Aperture members are provided wherein there is thin 1–10 micrometer thick crystaline membrane that is surrounded by a frame of a bulk type crystalline material. The aperture being an opening through the membrane in a typical shape useful for device fabrication, such as a circle or pattern. The aperture member of the invention can be fabricated out of a typical silicon crystalline wafer in a process where doping in a region serves as an etch stop.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: April 18, 2006
    Assignee: International Business Machines Corporation
    Inventors: Steven Alan Cordes, Michael James Cordes, James Louis Speidell, Scott Mansfield
  • Patent number: 7027703
    Abstract: A method for forming and apparatus comprising a free space coupler region having a plurality of optical waveguides coupled to the space coupler region at an interface region, the waveguides converging with one another to the interface region, and a trench formed between adjacent waveguides, the depth of the trench or trenches extending from an outer point to the interface region and monotonically decreasing in depth from the outer point to the interface region.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: April 11, 2006
    Assignee: Infinera Corporation
    Inventors: Charles H. Joyner, Mark J. Missey, Radhakrishnan L. Nagarajan, Frank H. Peters, Mehrdad Ziari, Fred A. Kish, Jr.
  • Patent number: 7022245
    Abstract: Fabrication of a reflective spatial light modulator including a micro-mirror array. In one embodiment, the micro mirror array is fabricated from a substrate that is a single crystal material by only two main etching steps. A first etch forms cavities in a first side of the material. A second etch forms support posts, a vertical hinge, and a mirror plate. Between the first and second etches, the substrate can be bonded to addressing and control circuitry.
    Type: Grant
    Filed: January 13, 2004
    Date of Patent: April 4, 2006
    Assignee: Miradia Inc.
    Inventors: Shaoher X Pan, Xiao Yang, Dongmin Chen
  • Patent number: 7022249
    Abstract: A method for manufacturing an optical micro-mirror including a fixed part and a moveable part, with a reflection device connected to the fixed part by an articulation mechanism. This method realizes a stack including a mechanical substrate, a first layer of thermal oxidation material, and at least one second layer of material for forming the moveable part, realizes the articulation mechanism, realizes the reflection device on the second layer, realizes the moveable part by etching of at least the second layer of material, and eliminates the thermal oxidation layer to liberate the moveable part. Such an optical micro-mirror may find possible applications to optical routing or image projection systems.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: April 4, 2006
    Assignee: Teem Photonics
    Inventor: Serge Valette
  • Patent number: 7022244
    Abstract: To supply microfine liquid droplets to a microscopic space for enabling micromachining and provide a method and an apparatus for forming the microfine liquid droplets, there is provided a method and an apparatus for generating liquid fine particles, comprising atomizing a liquid, fractionating the atomized liquid particles to form microfine liquid droplets by inertial fractionation and contacting the microfine liquid droplets with a heated carrier gas, thereby thermally drying the liquid particles to form finer particles.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 4, 2006
    Assignee: DENSO Corporation
    Inventors: Atusi Sakaida, Toshihisa Taniguchi, Hiroshi Tanaka
  • Patent number: 7018580
    Abstract: A method of fabricating a stamping mold suitable for use in the formation of a tapered waveguide structure includes defining a stamping pattern upon the surface of a silicon wafer, and removing portions of the silicon wafer surface in accordance with the stamped pattern, thereby creating tapered vertical surfaces within the wafer.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: March 28, 2006
    Assignee: General Electric Company
    Inventors: Thomas Bert Gorczyca, Christopher James Kapusta, Samhita Dasgupta, Stacey Joy Goodwin
  • Patent number: 7018550
    Abstract: A method for fabricating an electrically isolated MEMS device having an outer stationary MEMS element and an inner movable MEMS element is provided that does not use a sacrificial layer. Rather, a pair of spacers are defined on the outer portions of the upper surface of a conductive wafer, and an insulating material is deposited thereon. The spacers are attached to a substrate to define an internal void therein. The wafer is then patterned to form the outer MEMS element as well as a conductive member for the inner MEMS element, separated from the outer MEMS element by a gap. A portion of the insulating layer that is disposed in the gap is then removed, thereby releasing the inner MEMS element from the stationary MEMS element.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: March 28, 2006
    Assignee: Rockwell Automation Technologies, Inc.
    Inventors: Richard D. Harris, Robert J. Kretschmann, Michael J. Knieser, Mark A. Lucak
  • Patent number: 7018575
    Abstract: A method for assembly including the steps of: (a) providing a plurality of microstructure components with each of the components having a bottom with the same three dimensional shape; (b) forming a mold with at least one protuberance from a surface thereof so that the at least one protuberance has the same shape; (c) molding a moldable substrate with the mold to form a molded substrate having a surface with at least one recess having the same shape; and (d) positioning a first of the plurality of microstructure components into said at least one recess. Each of the microstructure components may be formed by a masking and etching process, with the mold being formed by the same masking and etching process. The positioning step may consist of mixing the microstructure components with a fluid to form a slurry; and depositing the slurry on the surface of the molded substrate to cause the first of the plurality of microstructure components to self-align in the recess.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: March 28, 2006
    Assignee: HRL Laboratories, LLC
    Inventors: Peter D. Brewer, Andrew T. Hunter, Luisa M. Deckard
  • Patent number: 7018549
    Abstract: A method is described for fabricating multiple nanowires of uniform length from a single precursor nucleation particle. The method includes growing a first nanowire segment from a nanoparticle and growing a second nanowire segment between the first nanowire segment and the nanoparticle. The first nanowire segment and the second nanowire segment have a different solubility.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: March 28, 2006
    Assignee: Intel Corporation
    Inventors: Matthew V. Metz, Scott A. Hareland, Robert S. Chau
  • Patent number: 7018548
    Abstract: A high-precision conductive thin film pattern having a high aspect ratio and a method of forming the same are provided. Further, a method of manufacturing a thin film magnetic head, a thin film inductor, and a micro device each including such a conductive thin film pattern is provided. Since a stacked layer structure including two conductive layer patterns formed by plating growth using an underfilm pattern as an electrode film and an intermediate conductive layer pattern sandwiched by the two conductive layer patterns is provided, a thicker conductive thin film pattern is obtained. An intermediate conductive layer covering a first resist frame is formed and, after that, a second resist frame is formed in a position corresponding to the first resist frame. Consequently, without causing inter-mixing, the first and second resist frames can be stacked. Thus, a thicker conductive thin film pattern can be formed easily with high precision.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: March 28, 2006
    Assignee: TDK Corporation
    Inventor: Akifumi Kamijima
  • Patent number: 7014786
    Abstract: A method for forming a patterned film on a substrate, the method including: providing a first flowable medium on the substrate and a second flowable medium on the first flowable medium, the first and second flowable media having different dielectric properties and defining an interface there between; applying an electric field to the interface for a time sufficient to produce a structure in the first flowable medium along the interface: and hardening the structure in the first flowable medium to form the patterned film.
    Type: Grant
    Filed: May 14, 2002
    Date of Patent: March 21, 2006
    Assignees: Universitat Konstanz, University of Massachusetts
    Inventors: Erik Schaffer, Jurgen Mlynek, Ullrich Steiner, Thomas Thurn-Albrecht, Thomas P. Russell
  • Patent number: 7014115
    Abstract: A MEMS scanning mirror device includes a scanning mirror, rotational comb teeth, stationary comb teeth, distributed serpentine springs, and anchors. The scanning mirror and the rotational comb teeth are driven by electrostatic force from stationary in-plane and/or out-of-plane teeth. The mirror is attached to the rotational comb structure by multiple support attachments. Multiple serpentine springs serve as the flexible hinges that link the movable structure to the stationary support structure.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: March 21, 2006
    Assignee: Advanced Nano Systems, Inc.
    Inventor: Yee-Chung Fu
  • Patent number: 7005193
    Abstract: A proof mass (11) for a MEMS device is provided herein. The proof mass comprises a base (13) comprising a semiconductor material, and at least one appendage (15) adjoined to said base by way of a stem (21). The appendage (15) comprises a metal (17) or other such material that may be disposed on a semiconductor material (19). The metal increases the total mass of the proof mass (11) as compared to a proof mass of similar dimensions made solely from semiconductor materials, without increasing the size of the proof mass. At the same time, the attachment of the appendage (15) by way of a stem (21) prevents stresses arising from CTE differentials in the appendage from being transmitted to the base, where they could contribute to temperature errors.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Motorola, Inc.
    Inventors: Andrew C. McNeil, Gary Li, Gary J. O'Brien
  • Patent number: 7000298
    Abstract: A quartz sensor method and system are disclosed in which a plurality of SAW sensing resonators can be mechanically simulated for implementation upon a quartz wafer substrate. The quartz wafer substrate can thereafter be appropriately etched to produce a quartz diaphragm from the quartz wafer substrate. A plurality of SAW sensing resonators (e.g., pressure, reference and/or temperature SAW resonators) can then be located upon the quartz wafer substrate, which is based upon the previously mechanically simulated for implementation upon the substrate to thereby produce a quartz sensor package from the quartz wafer substrate.
    Type: Grant
    Filed: April 20, 2004
    Date of Patent: February 21, 2006
    Assignee: Honeywell International Inc.
    Inventors: James D. Cook, Cornel P. Cobianu, Vlad Buiculescu, Ioan Pavelescu, Brian D. Speldrich, James Z. Liu, Brian J. Marsh
  • Patent number: 6998059
    Abstract: The invention relates to a method for manufacturing a silicon sensor structure and a silicon sensor. According to the method, into a single-crystal silicon wafer (10) is formed by etched opening at least one spring element configuration (7) and at least one seismic mass (8) connected to said spring element configuration (7). According to the invention, the openings and trenches (8) extending through the depth of the silicon wafer are fabricated by dry etch methods, and the etch process used for controlling the spring constant of the spring element configuration (7) is based on wet etch methods.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: February 14, 2006
    Assignee: VTI Technologies Oy
    Inventors: Heikki Kuisma, Juha Lahdenperä, Risto Mutikainen
  • Patent number: 6986202
    Abstract: A method of fabricating a micro-electromechanical fluid ejection device includes the step of forming a first layer of a sacrificial material on a substrate incorporating a drive circuitry layer. A first electrically conducting layer, a first structural layer and a second electrically conducting layer are formed on the sacrificial material with the first structural layer interposed between the electrically conducting layers. The sacrificial material is formed so that the first electrically conducting layer defines a heating circuit connected to the drive circuitry, and the electrically conducting layers and the first structural layer define a fluid ejecting member connected to an actuator arm that is displaceable on heating and subsequent expansion of the first electrically conducting layer. A second layer of sacrificial material is formed on the second conducting layer layer. A second structural layer is formed on the second layer of sacrificial material.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: January 17, 2006
    Assignee: Silverbrook Research Pty Ltd.
    Inventor: Kia Silverbrook
  • Patent number: 6986609
    Abstract: An optical module, in which one or more grooves on which a plurality of optical fibers or optical parts are mounted, are formed to different depths and a stopper hole is manufactured so as to prevent a convex corner phenomenon so that an optical axis is precisely aligned, and a method of manufacturing the same are provided. The method of manufacturing an optical module includes the steps of first etching to form one or more grooves on a first surface of a wafer, and second etching to form one or more stopper holes so that a second surface of the wafer is etched to penetrate the wafer. The optical module having one or more grooves for mounting one or more optical parts on a substrate, includes stopper holes which are formed by penetrating the bottom surface of the substrate to center a region which corresponds to a predetermined region among the grooves.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: January 17, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-chae Kim, Hyung Choi, Yong-sung Kim
  • Patent number: 6984436
    Abstract: In homogeneous materials, etching characteristics depend on properties inherent in these materials regardless of whether they are isotropic or anisotropic, and there have been limitations in realizing various desired shapes. A subject for the invention is to provide a gradient material which eliminates these limitations. A gradient material is provided in which the rate of etching with a specific chemical substance changes continuously or by steps from the outermost surface to an inner part thereof. This gradient material is made of a main material which contains an additive capable of changing the etching rate of the main material so that the concentration of the additive changes continuously or by steps. Especially when a glass material containing SiO2 as the main component is used as the main material and fluorine is used as the additive, then a gradient material in which the rate of etching with an aqueous solution of hydrofluoric acid changes in the depth direction can be obtained.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: January 10, 2006
    Assignees: National Institute of Advanced Industrial Science and Technology, Nippon Sheet Glass Co., Ltd.
    Inventors: Junji Nishii, Tadashi Koyama, Jun Yamaguchi
  • Patent number: 6984332
    Abstract: A gyroscope comprises a piezoelectric substrate having a surface. Disposed on the surface are a resonator transducer, a pair of reflectors, a structure such as a metallic dot, and a sensor transducer. The resonator transducer creates a first surface acoustic wave on the surface. The pair of reflectors reflects the first surface acoustic wave to form a standing wave within a region of the surface between the pair of reflectors. The structure is disposed on the surface within the region, wherein a Coriolis force acting upon the structure creates a second surface acoustic wave. The sensor senses the second surface acoustic wave and provides an output indicative thereof.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: January 10, 2006
    Assignee: The Penn State Research Foundation
    Inventors: Vijay K. Varadan, Pascal B Xavier, William D. Suh, Jose A Kollakompil, Vasundara V Varadan
  • Patent number: 6979406
    Abstract: Disclosed are a cantilever-type near-field probe capable of easily improving an optical throughput and being applied to a head of an optical data storage and a method of manufacturing the same. An oxide film is formed on a silicon substrate having dielectric films formed as a mask layer on upper and lower portions thereof, and a circular dielectric film formed on the upper mask layer and providing a function of a holder. A distal end of the probe has a parabolic structure by use of an effect of a bird's peak provided due to a difference of growth rate of the oxide film produced by the dielectric film, thereby forming the initial probe. After the dielectric film is removed from the initial probe, a bottom surface of the silicon substrate is removed, thereby providing the probe with the near-field aperture having a high throughput.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: December 27, 2005
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Kibong Song, Eunkyoung Kim, Sung Qyu Lee, Kang Ho Park, Jun Ho Kim
  • Patent number: 6979407
    Abstract: Process for producing an SPM sensor having a holding element, a cantilever and a sensor tip which projects out of the surface of the cantilever and is delimited by three surfaces. According to the process, the starting material used is a (100)-silicon wafer. The main patterning process steps are carried out on the wafer back surface, so that an SPM sensor can be produced at low cost in a single batch run.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: December 27, 2005
    Assignee: Nanoworld AG
    Inventor: Stefan Lutter
  • Patent number: 6974549
    Abstract: A method for forming fine grooves including forming a first silicon-nitride layer on a substrate, forming a first poly-silicon layer on the first silicon-nitride layer, forming a second silicon-nitride layer on the first poly-silicon layer, patterning the second silicon-nitride layer, etching the first poly-silicon layer using the patterned second silicon-nitride layer as a mask, forming at least one patterned oxidized portion of the first poly-silicon layer by oxidizing the substrate, first silicon-nitride layer, etched first poly-silicon layer, and patterned second silicon-nitride layer, removing the patterned second silicon-nitride layer and etched first poly-silicon layer such that the first silicon-nitride layer and at least one patterned oxidized portion of the first poly-silicon layer remain on the substrate, and forming a plurality of fine grooves over the substrate by plasma etching the first silicon-nitride layer using the at least one patterned oxidized portion of the first poly-silicon layer as a
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: December 13, 2005
    Assignee: Ricoh Company, Ltd.
    Inventor: Masaru Ohgaki
  • Patent number: 6969473
    Abstract: A method of manufacturing a liquid ejection device, the device including a movable paddle, the method utilizing semiconductor fabrication techniques and including the steps of depositing a first layer of sacrificial material on a substrate, depositing at least a second layer of sacrificial material on a selected part or parts of the first layer, depositing a paddle forming layer of material over the first and second layers of sacrificial material and etching the sacrificial material to thereby form a paddle coupled to a substrate.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: November 29, 2005
    Assignee: Silverbrook Research Pty Ltd
    Inventor: Kia Silverbrook
  • Patent number: 6969470
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: November 29, 2005
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6964086
    Abstract: A method comprises: bonding a plurality of thin film piezoelectric elements, formed on a substrate, onto a temporary fixing substrate via an adhesive layer; selectively removing the substrate to expose the thin film piezoelectric elements; fixing an element housing jig having sectional regions for individually isolating the thin film piezoelectric elements in such manner that the thin film piezoelectric elements and the sectional regions correspond to each other; and dissolving and removing the adhesive layer, and housing the thin film piezoelectric elements in the sectional regions of the element housing jig. Accordingly, it is possible to individually isolate and house the thin film piezoelectric elements removed from the substrate.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: November 15, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hirosuke Mikami, Hirokazu Uchiyama, Hideki Kuwajima
  • Patent number: 6964804
    Abstract: A micromachined structure, comprising: a substarte; a first wet etched pit disposed in the substrate; a second wet etched pit disposed in the substrate, the second pit extending into the substrate a greater depth than the first pit; and a dry pit disposed between, and adjacent to, the first and second pits. Also disclosed is a micromachined substrate comprising: a wet etched pit; and a dry-etched hole disposed in the wet etched pit, wherein the dry hole extends through the substrate.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: November 15, 2005
    Assignee: Shipley Company, L.L.C.
    Inventors: Dan A. Steinberg, Jasean Rasnake, David W. Sherrer
  • Patent number: 6960305
    Abstract: A method for making a spatial light modulator is disclosed, that comprises forming an array of micromirrors each having a hinge and a micromirror plate held via the hinge on a substrate, the micromirror plate being disposed in a plane separate from the hinge and having a hinge made of a transition metal nitride, followed by releasing the micromirrors in a spontaneous gas phase chemical etchant. Also disclosed is a projection system that comprises such a spatial light modulator, as well as a light source, condensing optics, wherein light from the light source is focused onto the array of micromirrors, projection optics for projecting light selectively reflected from the array of micromirrors onto a target, and a controller for selectively actuating the micromirrors in the array.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: November 1, 2005
    Assignee: Reflectivity, Inc
    Inventors: Jonathan C. Doan, Satyadev R. Patel, Andrew G. Huibers, Jason S. Reid
  • Patent number: 6958124
    Abstract: SPM sensor comprising a holding element, cantilever and a sensor tip, which projects out of the surface of the cantilever, at the free end of the cantilever, at least the cantilever and the three-surface sensor tip consisting of monocrystalline (100)-silicon, and a process for producing this sensor. The process is distinguished by inexpensive process steps, substantially wet-chemical etching steps. The result is that an SPM sensor with a rectangular cantilever arm having a tip which may or may not project beyond the free end is produced from a single piece.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: October 25, 2005
    Assignee: NanoWorld AG
    Inventor: Stefan Lutter
  • Patent number: 6958123
    Abstract: A method comprises depositing an organic material on a substrate; depositing additional material different from the organic material after depositing the organic material; and removing the organic material with a compressed fluid. Also disclosed is a method comprising: providing an organic layer on a substrate; after providing the organic layer, providing one or more layers of a material different than the organic material of the organic layer; removing the organic layer with a compressed fluid; and providing an anti-stiction agent with a compressed fluid to material remaining after removal of the organic layer.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: October 25, 2005
    Assignee: Reflectivity, INC
    Inventors: Jason S. Reid, Nungavaram S. Viswanathan
  • Patent number: 6949199
    Abstract: A method of performing thermal imprint lithography of a surface of a thermoplastic layer-coated workpiece for forming a pattern therein comprises pre-heating the workpiece to a pre-selected high temperature prior to inserting the workpiece in a stamping/imprinting tool maintained at a predetermined lower temperature, whereby the interval for thermal cycling of the stamping/imprinting tool between higher and lower temperatures is eliminated or at least reduced. Applications of the method include forming servo patterns in disk-shaped substrates for hard disk recording media.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: September 27, 2005
    Assignee: Seagate Technology LLC
    Inventors: Gennady Gauzner, Koichi Wago, David Shiao-Min Kuo
  • Patent number: 6942812
    Abstract: In producing an etalon, a thickness of an etalon base plate is measured, and the etalon base plate is placed in a process chamber. Then, a gas having a chemical reactivity with respect to a material of the etalon base plate is introduced into the process chamber, and a surface of the etalon base plate is etched for only a predetermined time corresponding to a thickness of the etalon base plate, thereby obtaining the etalon having a desired thickness.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: September 13, 2005
    Assignee: Shimadzu Corporation
    Inventors: Ryo Tateno, Masaru Koeda, Satoshi Irikuchi
  • Patent number: 6942811
    Abstract: The etching of a sacrificial silicon portion in a microstructure such as a microelectromechanical structure by the use of etchant gases that are noble gas fluorides or halogen fluorides is performed with greater selectivity toward the silicon portion relative to other portions of the microstructure by slowing the etch rate. The etch rate is preferably 30 um/hr or less, and can be 3 um/hr or even less. The selectivity is also improved by the addition of non-etchant gaseous additives to the etchant gas. Preferably the non-etchant gaseous additives that have a molar-averaged formula weight that is below that of molecular nitrogen offer significant advantages over gaseous additives of higher formula weights by causing completion of the etch in a shorter period of time while still achieving the same improvement in selectivity. The etch process is also enhanced by the ability to accurately determine the end point of the removal step.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: September 13, 2005
    Assignee: Reflectivity, Inc
    Inventors: Satyadev R. Patel, Gregory P. Schaadt, Douglas B. MacDonald, Hongqin Shi
  • Patent number: 6942814
    Abstract: Methods of forming optoelectronic devices include forming an electrically conductive layer on a first surface of a substrate and forming a mirror backing layer from the electrically conductive layer by forming an endless groove that extends through the electrically conductive layer. A step is then performed to remove a portion of the substrate at a second surface thereof, which extends opposite the first surface. This step exposes a front surface of the mirror backing layer. An optically reflective mirror surface is then formed on the front surface of the mirror backing layer.
    Type: Grant
    Filed: December 2, 2002
    Date of Patent: September 13, 2005
    Assignee: Memscap, S.A.
    Inventors: Robert L. Wood, Edward A. Hill
  • Patent number: 6939809
    Abstract: A method for releasing from underlying substrate material micromachined structures or devices without application of chemically aggressive substances or excessive forces. The method starts with the step of providing a partially formed device, comprising a substrate layer, a sacrificial layer deposited on the substrate, and a function layer deposited on the sacrificial layer and possibly exposed portions of the substrate layer and then etched to define micromechanical structures or devices therein. The etching process exposes the sacrificial layer underlying the removed function layer material. Next there are the steps of cleaning residues from the surface of the device, and then directing high-temperature hydrogen gas over the exposed surfaces of the sacrificial layer to convert the silicon dioxide to a gas, which is carried away from the device by the hydrogen gas.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: September 6, 2005
    Assignee: Robert Bosch GmbH
    Inventors: Aaron Partridge, Markus Lutz
  • Patent number: 6939472
    Abstract: The present invention teaches a method and apparatus for removing sacrificial materials in fabrications of microstructures using one or more selected spontaneous vapor phase etchants. The selected etchant is fed into an etch chamber containing the microstructure during each feeding cycle of a sequence of feeding cycles until the sacrificial material of the microstructure is exhausted through the chemical reaction between the etchant and the sacrificial material. Specifically, during a first feeding cycle, a first amount of selected spontaneous vapor phase etchant is fed into the etch chamber. At a second feeding cycle, a second amount of the etchant is fed into the etch chamber. The first amount and the second amount of the selected etchant may or may not be the same. The time duration of the feeding cycles are individually adjustable.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: September 6, 2005
    Assignee: Reflectivity, Inc.
    Inventors: Gregory P. Schaadt, Hongqin Shi
  • Patent number: 6939473
    Abstract: A method for making an angular velocity sensor having two masses which are laterally disposed in an X-Y plane and indirectly connected to a frame provided. The two masses are linked together by a linkage such that they necessarily move in opposite directions along Z. Angular velocity of the sensor about the Y axis can be sensed by driving the two masses into Z-directed antiphase oscillation and measuring the angular oscillation amplitude thereby imparted to the frame. In a preferred embodiment, the angular velocity sensor is fabricated from a bulk MEMS gyroscope wafer, a cap wafer and a reference wafer. In a further preferred embodiment, this assembly wafers provides a hermetic barrier between the masses and an ambient environment.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: September 6, 2005
    Assignee: Invensense Inc.
    Inventors: Steven S. Nasiri, Anthony Francis Flannery, Jr.
  • Patent number: 6939574
    Abstract: A method is provided for preventing dopant leaching from a doped structural film during fabrication of a microelectromechanical system. A microstructure that includes the doped structural film, sacrificial material, and metallic material is produced with a combination of deposition, patterning, and etching techniques. The sacrificial material is dissolved with a release solution that has a substance destructive to the sacrificial material. This substance also acts as an electrolyte, forming a galvanic cell with the doped structural film and metallic material acting as electrodes. The effects of the galvanic cell are suppressed by including a nonionic detergent mixed in the release solution.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: September 6, 2005
    Assignee: PTS Corporation
    Inventors: Bevan Staple, David Miller, Lilac Muller Muller
  • Patent number: 6930367
    Abstract: There are many inventions described and illustrated herein. In one aspect, there is described a thin film or wafer encapsulated MEMS, and technique of fabricating or manufacturing a thin film or wafer encapsulated MEMS employing anti-stiction techniques. In one embodiment, after encapsulation of the MEMS, an anti-stiction channel is formed thereby providing “access” to the chamber containing some or all of the active members or electrodes of the mechanical structures. Thereafter, an anti-stiction fluid (for example, gas or gas-vapor) is introduced into the chamber via the anti-stiction channel. The anti-stiction fluid may deposit on one, some or all of the active members of the mechanical structures thereby providing an anti-stiction layer (for example, a monolayer coating or self-assembled monolayer) and/or out-gassing molecules on such members or electrodes. After introduction and/or application of the anti-stiction fluid, the anti-stiction channel may be sealed, capped, plugged and/or closed.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: August 16, 2005
    Assignee: Robert Bosch GmbH
    Inventors: Markus Lutz, Aaron Partridge
  • Patent number: 6913701
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: July 5, 2005
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6911156
    Abstract: A method for fabricating a magnetic memory element structure comprises providing a dielectric layer having a conducting via. A first magnetic layer is formed overlying the dielectric layer and is in electrical communication with the conducting via. A non-magnetic layer and a second magnetic layer are formed overlying the first magnetic layer. A first conductive layer is deposited overlying the second magnetic layer and is patterned. A portion of the second magnetic layer is exposed and is transformed to form an inactive portion and an active portion. The active portion comprises a portion of a memory element and the inactive portion comprises an insulator. A sidewall spacer is formed about at least one sidewall of the first conductive layer and a masking tab is formed that overlies a portion of the memory element and extends to overlie at least a portion of the conducting via.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: June 28, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory W. Grynkewich, Brian R. Butcher, Mark A. Durlam, Kelly Kyler, Kenneth H. Smith, Clarence J. Tracy