With Measuring, Testing, Or Inspecting Patents (Class 216/59)
  • Patent number: 7431857
    Abstract: A method and apparatus for generating and controlling a plasma in a semiconductor substrate processing chamber using a dual frequency RF source is provided. The method includes the steps of supplying a first RF signal from the source to an electrode within the processing chamber at a first frequency and supplying a second RF signal from the source to the electrode within the processing chamber at a second frequency. The second frequency is different from the first frequency by an amount equal to a desired frequency. Characteristics of a plasma formed in the chamber establish a sheath modulation at the desired frequency.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Alex Paterson, Theodoros Panagopoulos, John P. Holland, Dennis Grimard, Yashushi Takakura
  • Patent number: 7431859
    Abstract: A plasma etch process includes injecting process gases with different compositions of chemical species through different radial gas injection zones of an overhead electrode to establish a desired distribution of chemical species among the plural gas injection zones.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Publication number: 20080237182
    Abstract: A substrate processing apparatus that can improve the uniformity of plasma processing carried out on a wafer. The wafer is housed in a chamber of the substrate processing apparatus and subjected to plasma processing using plasma produced in the processing chamber. A temperature control mechanism jets a high-temperature gas toward at least part of an annular focus ring facing the plasma.
    Type: Application
    Filed: March 5, 2008
    Publication date: October 2, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YAMAWAKU, Tsuyoshi MORIYA
  • Patent number: 7413672
    Abstract: Methods and apparatus for detecting and/or deriving the absolute values of and/or the relative changes in parameters such as the plasma potential and the ion flux using a Planar Ion Flux (PIF) probing arrangement are disclosed. The detected and/or derived values are then employed to control plasma processing processes.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: August 19, 2008
    Assignee: Lam Research Corporation
    Inventor: Douglas L. Keil
  • Publication number: 20080190893
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Application
    Filed: February 13, 2008
    Publication date: August 14, 2008
    Inventors: Masahito Mori, Naoyuki Kofuji, Naoshi Itabashi
  • Publication number: 20080179284
    Abstract: Methods of operating an electromagnet of an ion source for generating an ion beam with a controllable ion current density distribution. The methods may include generating plasma in a discharge space of the ion source, generating and shaping a magnetic field in the discharge space by applying a current to an electromagnet that is effective to define a plasma density distribution, extracting an ion beam from the plasma, measuring a distribution profile for the ion beam density, and comparing the actual distribution profile with a desired distribution profile for the ion beam density. Based upon the comparison, the current applied to the electromagnet may be adjusted either manually or automatically to modify the magnetic field in the discharge space and, thereby, alter the plasma density distribution.
    Type: Application
    Filed: February 26, 2008
    Publication date: July 31, 2008
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Alan V. Hayes, Rustam Yevtukhov, Viktor Kanarov, Boris L. Druz
  • Patent number: 7396481
    Abstract: This invention relates to a method for etching an organic insulating film used in the production of semiconductor devices. A sample to be etched on which a low dielectric constant organic insulating film is formed is etched by generating a plasma from hydrogen gas and nitrogen gas or ammonia gas, and controlling the gas flow rate and pressure so that the light emission spectral intensity ratio of hydrogen atom and cyan molecule in the plasma comes to a prescribed value. By this method, a low dielectric constant organic insulating film as an insulating film between layers can be etched without using any etch stop layer so that bottom surfaces of trenches and holes for electrical wiring become flat.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: July 8, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Michinobu Mizumura, Ryooji Fukuyama, Yutaka Ohmoto, Katsuya Watanabe
  • Publication number: 20080156769
    Abstract: An integrated processing tool is described comprising a full-wafer processing module and a combinatorial processing module. Chemicals for use in the combinatorial processing module are fed from a delivery system including a set of first manifolds. An output of each first manifold is coupled to at least one mixing vessel. An output of each mixing vessel feeds more than one of a set of second manifolds. An output of each set of second manifolds feeds one of multiple site-isolated reactors of the combinatorial processing module.
    Type: Application
    Filed: December 29, 2006
    Publication date: July 3, 2008
    Inventors: Kurt H. Weiner, Tony P. Chiang, Aaron Francis, John Schmidt
  • Patent number: 7393459
    Abstract: A method for automatic determination of a state of a substrate in a plasma processing chamber is provided. Substrate reflectance data is collected in a processing chamber prior to processing to be analyzed with reference reflectance data to determine if the substrate state meets a control criterion. The substrate state may define the thickness and the qualities of the films on the substrate, the critical dimensions of the different layers on the substrate. The reflectance data is analyzed using a multi-variant analysis technique, such as principle component analysis. In addition to analyzing substrate state prior to processing, substrate reflectance could also be collected in a processing chamber during processing to be analyzed with reference reflectance data to further determine if the substrate state and/or the substrate processing are meeting a control criterion.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: July 1, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Matthew F Davis, Lei Lian, Quentin E. Walker
  • Publication number: 20080149595
    Abstract: A confinement assembly for a semiconductor processing chamber is provided. The confinement assembly includes a plurality of confinement rings disposed over each other. Each of the plurality of confinement rings are separated by a space and each of the plurality of confinement rings have a plurality of holes defined therein. A plunger extending through aligned holes of corresponding confinement rings is provided. The plunger is moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is affixed to the plunger. The proportional adjustment support is configured to support the confinement rings, such that as the plunger moves in the plane, the space separating each of the plurality of confinement rings is proportionally adjusted. In one embodiment the proportional adjustment support is a bellows sleeve. A semiconductor processing chamber and a method for confining a plasma in an etch chamber having a plurality of confinement rings are provided.
    Type: Application
    Filed: March 4, 2008
    Publication date: June 26, 2008
    Inventor: Peter Cirigliano
  • Publication number: 20080142480
    Abstract: The present invention is to provide a method by which the waviness generated in a glass substrate surface during pre-polishing are removed and the glass substrate is finished so as to have a highly flat surface. The present invention relates a method of finishing a pre-polished glass substrate surface, the glass substrate being made of quartz glass containing a dopant and comprising SiO2 as a main component, the finishing method comprising: measuring a concentration distribution of the dopant contained in the glass substrate; and measuring a surface shape of the glass substrate in the pre-polished state, wherein conditions for processing the glass substrate surface are set for each part of the glass substrate based on the measurement results of the concentration distribution of the dopant and the surface shape of the glass substrate.
    Type: Application
    Filed: December 14, 2007
    Publication date: June 19, 2008
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventor: Koji OTSUKA
  • Patent number: 7384569
    Abstract: Disclosed are photolithographic systems and methods, and more particularly systems and methodologies that enhance imprint mask feature resolution. An aspect generates feedback information that facilitates control of imprint mask feature size and resolution via employing a scatterometry system to detect resolution enhancement need, and decreasing imprint mask feature size and increasing resolution of the imprint mask via a trim etch procedure.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: June 10, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srikanteswara Dakshina-Murthy, Bhanwar Singh, Ramkumar Subramanian
  • Patent number: 7378003
    Abstract: A focused particle beam system, according to one embodiment of the invention, precisely shapes a pole-tip assembly formed by a multi-layer device having a first layer with a first structural element, a second layer with a second structural element, and a shielding layer with a shielding element, the shielding element being located between the first layer and the second layer. The focused particle beam system mills the second structural element without irradiating a first structural element. The system images a selected portion of the multi-layer device to locate the shielding element and thereby avoids irradiating the first structural element. The shielding element separates the first structural element from the second structural element. Based on the location of the shielding element, the system images and mills the second structural element without irradiating the first structural element.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: May 27, 2008
    Assignee: FEI Company
    Inventors: Gregory J. Athas, Russel Mello
  • Patent number: 7361286
    Abstract: A method of detecting an etching end-point includes the steps of: forming a mask on a pattern area of an etching object; forming an etching indicator on an etching area of the etching object, which is not covered by the mask; etching the etching object using the mask; and evaluating the size of a remaining object covered by the mask using the etching indicator.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hyun Kim, Yu-Dong Bae, Jung-Kee Lee, In Kim
  • Publication number: 20080087638
    Abstract: Calibration wafers and methods for calibrating a plasma process performed in a plasma processing apparatus, such as an ionized physical vapor deposition apparatus. The calibration wafer includes one or more selective-redeposition sources that may be used for calibrating a plasma process. The selective-redeposition sources are constructed to promote the redeposition of a controllable and/or measurable amount of material during the plasma process.
    Type: Application
    Filed: September 29, 2006
    Publication date: April 17, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jozef Brcka, Rodney L. Robison, Takashi Horiuchi
  • Patent number: 7359177
    Abstract: A plasma reactor has a dual frequency plasma RF bias power supply furnishing RF bias power comprising first and second frequency components, f(1), f(2), respectively, and an RF power path having an input end coupled to the plasma RF bias power supply and an output end coupled to the wafer support pedestal, and sensor circuits providing measurement signals representing first and second frequency components of a measured voltage and first and second frequency components of a measured current near the input end of the RF power path. The reactor further includes a processor for providing first and second frequency components of a wafer voltage signal as, respectively, a first sum of the first frequency components of the measured voltage and measured current multiplied by first and second coefficients respectively, and a second sum of the second frequency components of the measured voltage and measured current multiplied by third and fourth coefficients, respectively. A processor produces a D.C.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: April 15, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Jang Gyoo Yang, Daniel J. Hoffman, Steven C. Shannon, Douglas H. Burns, Wonseok Lee, Kwang-Soo Kim
  • Patent number: 7354524
    Abstract: A method of processing multi-layer films, the method including: (1) processing a plurality of layers according to selected parameters, (2) determining a plurality of optical characteristics each associated with one of the plurality of layers and determined during the processing of the associated one of the plurality of layers, and (3) determining dynamic processing progressions each based on one of the plurality of optical characteristics that is associated with a particular one of the plurality of layers undergoing the processing.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: April 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui Ou Yang, Miao-Ju Hsu, Chao-Cheng Chen, Hun-Jan Tao
  • Publication number: 20080067146
    Abstract: The plasma processing apparatus relating to the present invention is provided with a process chamber, a pressure measuring unit for measuring the pressure inside of the process chamber and a pump for exhausting a gas in the process chamber. A pressure control valve for maintaining the pressure inside of the process chamber to a predetermined pressure by regulating an opening based on a measured value of the pressure measuring unit is provided between the pump and the process chamber. An exhaust capacity controller sets up the exhaust capacity in a state that the variation of the opening of the pressure control valve in response to the pressure fluctuation inside of the process chamber is large. A computing unit detects very small pressure fluctuation based on the variation of the opening of the pressure control valve. In results, enabling reliable detection of a very small gas flow fluctuation and pressure fluctuation by a less expensive method independent of process conditions.
    Type: Application
    Filed: September 10, 2007
    Publication date: March 20, 2008
    Inventors: Katsuhiko Onishi, Hiroki Imamura
  • Patent number: 7344651
    Abstract: There is provided a method and apparatus for selective removal of materials present on an object A in one or more layers B by surface abrasion by projection onto the surface of the object A of a moving fluid composed of a gas and solid particles conveyed in the gas. The apparatus comprises at least two reservoirs (11,13,15) for solid particles (21,22,23) of at least two different sizes communicating with a single reservoir 30 trough conduits (24,25,26). Each conduit (24,25,26) has an obturator (27,28,29) movable between open and closed positions and the single reservoir (30) is connected to a further conduit (36) provided with a nozzle (37) situated in the proximity of the surface layer B to be stripped. Particles (31) are mixed in “T” joint(34) with gas from an air compressor (35) and directed out of the nozzle (37).
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: March 18, 2008
    Assignee: Workinter Limited
    Inventor: Yvon Georges Jean-Pierre Jaubertie
  • Patent number: 7341673
    Abstract: A method in a plasma processing system of determining the temperature of a substrate. The method includes providing a substrate comprising a set of materials, wherein the substrate being configured to absorb electromagnetic radiation comprising a first set of electromagnetic frequencies, to convert the first set of electromagnetic frequencies to a set of thermal vibrations, and to transmit a second set of electromagnetic frequencies. The method also includes positioning the substrate on a substrate support structure, wherein the substrate support structure includes a chuck; flowing an etchant gas mixture into a plasma reactor of the plasma processing system; and striking the etchant gas mixture to create a plasma, wherein the plasma comprises the first set of electromagnetic frequencies.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: March 11, 2008
    Assignee: LAM Research Corporation
    Inventor: Enrico Magni
  • Patent number: 7340372
    Abstract: In order to determine the dielectric constant of a layer deposited on a semiconductor wafer (2), the density of the layer is obtained. To obtain that density, the wafer (2) without the layer is weighed in a weighing chamber (4) in which a weighing pan (7) supports the wafer on a weighing balance. The weight of the wafer is determined taking into account the buoyancy exerted by the air on the wafer (2). Then the layer is deposited on the wafer (2) and the weighing operation repeated. Alternatively a reference wafer may be used. If the material of the layer is known, the weight of the layer can be used to derive its density using a thickness measurement. Alternatively, if the density is known, the thickness can be obtained.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: March 4, 2008
    Assignee: Metryx Limited
    Inventor: Robert John Wilby
  • Patent number: 7332099
    Abstract: A method for reducing noise in a lapping guide. Selected portions of a magnetoresistive device wafer are bombarded with ions such that a magnetoresistive effect of lapping guides is reduced. The device is lapped, using the lapping guides to measure an extent of the lapping.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: February 19, 2008
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Mark A. Church, Wipul Pemsiri Jayasekara, Howard Gordon Zolla
  • Publication number: 20080035606
    Abstract: The present invention provides a method for improving the critical dimension performance during a plasma etching process of a photolithographic substrate having a thin film. A passivation film is deposited onto the photolithographic substrate using a first set of process conditions. The deposited film is etched from the photolithographic substrate using a second set of process conditions. An exposed surface of the photolithographic substrate is etched using a third set of process conditions. During the plasma processing of the photolithographic substrate, the critical dimension performance of the photolithographic substrate is monitored to insure that the target uniformity and feature widths are obtained by adjusting the deposition and etch plasma processing of the photolithographic substrate.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 14, 2008
    Inventors: Jason Plumhoff, Sunil Srinivasan, David Johnson, Russell Westerman
  • Patent number: 7330346
    Abstract: The invention provides a means for estimating a self-bias voltage under arbitrary etching conditions via a simple procedure.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: February 12, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shoji Ikuhara, Hideyuki Yamamoto, Daisuke Shiraishi, Akira Kagoshima
  • Patent number: 7323116
    Abstract: A method for in-situ monitoring a process in a plasma processing system having a plasma processing chamber is disclosed. The method includes positioning a substrate in the plasma processing chamber. The method also includes striking a plasma within the plasma processing chamber while the substrate is disposed within the plasma processing chamber. The method further includes obtaining a measured self-bias voltage that exists after the plasma is struck, the measured self-bias voltage value having a first value when the plasma is absent and at least a second value different from the first value when the plasma is present. The method also includes correlating the measured self-bias voltage value with an attribute of the process, if the measured self-bias voltage value is outside of a predefined self-bias voltage value envelope.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: January 29, 2008
    Assignee: Lam Research Corporation
    Inventors: Timothy J. Guiney, Rao Annapragada, Subhash Deshmukh, Chia Cheng Cheng
  • Publication number: 20080017612
    Abstract: The present invention provides a method of fabricating a hinge. First, a wafer is provided, and a hinge region and at least two through regions are defined on the wafer. The wafer in the hinge region is partially removed from a bottom surface of the wafer. Subsequently, the wafer in the through regions is completely removed from a top surface of the wafer, and the hinge is formed. Thereafter, a wafer level test is performed on the hinge of the wafer. Next, an etching process is performed to adjust the shape of the hinge. According to the method of the present invention, the thickness of the hinge is no longer limited by the thickness of the wafer, and the hinge can accept the wafer level test.
    Type: Application
    Filed: November 7, 2006
    Publication date: January 24, 2008
    Inventor: Hsien-Lung Ho
  • Publication number: 20080011715
    Abstract: A process for laser forming a blind via in at least one layer of a circuit substrate having a plurality of capture pads of varying geometry can include, for at least one blind via to be formed in at least one layer of a circuit substrate, evaluating a capture pad geometry value (such as area and/or volume) within a predetermined distance from a drilling location with respect to a blind via geometry value (such as area and/or volume) to be formed at the drilling location. The process can include setting at least one laser operating parameter based on the evaluation in order to obtain a desired capture pad appearance after blind via formation. The process can include imaging a capture pad area defined as an area within a predetermined distance from a blind via drilling location in at least one layer of a circuit substrate, quantifying at least one appearance value for the imaged capture pad area, and determining an acceptability of the imaged capture pad areas based on the quantified appearance value.
    Type: Application
    Filed: July 11, 2006
    Publication date: January 17, 2008
    Applicant: Electro Scientific Industies, Inc.
    Inventors: Hisashi Matsumoto, Mark Singer, Leo Baldwin, Jeffrey E. Howerton, David V. Childers
  • Patent number: 7306746
    Abstract: A method for controlling a critical dimension in an etched structure comprises the steps of: forming a hard mask above a substrate, measuring a critical dimension of the hard mask, and using the measured hard mask critical dimension to control a critical dimension trim operation performed on a circuit trace above the substrate.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: December 11, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Fang-Cheng Chen, Li Te Hsu, I Cheng Tseng, Hsu Chiung Wen, Tsung Chuan Chen, Pin Chia Su
  • Patent number: 7306745
    Abstract: A workpiece is processed in a chamber by striking a plasma in the chamber, treating the workpiece by cyclically adjusting the processing parameters between at least a first step having a first set of processing parameters and a second step having a second set of process parameters, wherein the plasma is stabilized during the transition between the first and second steps. These steps may comprise cyclic etch and deposition steps. One possibility for stabilizing the plasma is by matching the impedance of the plasma to the impedance of the power supply which provides energy to the plasma, by means of a matching unit which can be controlled in a variety of ways depending upon the step type or time during the step. Another possibility is to prevent or reduce substantially variation in the pressure in the chamber between the first and second steps.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: December 11, 2007
    Assignee: Surface Technology Systems PLC
    Inventors: Jyoti Kiron Bhardwaj, Leslie Michael Lea, Edward Guibarra
  • Publication number: 20070278180
    Abstract: A method of imaging and identifying materials on and below the surface of a structure is described. The method may be used in areas as small as one micron in diameter, and may remove a thin portion of the topmost material, repeating the analysis, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. The reaction products from the radical attack on the surface are pumped away from the surface and analyzed using various methods, such as optical emission, infrared, atomic absorption, or Raman spectroscopy.
    Type: Application
    Filed: June 1, 2006
    Publication date: December 6, 2007
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington, Neal R. Rueger
  • Publication number: 20070267142
    Abstract: Treatment of a semiconductor wafer employs: a) position-dependent measuring of a parameter characterizing the semiconductor wafer to determine a position-dependent value of the parameter over an entire surface of the semiconductor wafer, b) oxidizing the entire surface of the semiconductor wafer under the action of an oxidizing agent with simultaneous exposure of the entire surface, the oxidation rate and thus the thickness of the resulting oxide layer dependent on the light intensity at the surface of the semiconductor wafer, and c) removing of the oxide layer, the light intensity in step b) predefined in a position-dependent manner such that differences in the position-dependent values of the parameter measured are reduced by the position-dependent oxidation rate resulting in step b) and subsequent removal of the oxide layer in step c).
    Type: Application
    Filed: May 17, 2007
    Publication date: November 22, 2007
    Applicant: SILTRONIC AG
    Inventors: Brian Murphy, Diego Feijoo, Reinhold Wahlich
  • Patent number: 7297287
    Abstract: An apparatus and method for detection of a feature etch completion within an etching reactor. The method includes determining a correlation matrix by recording first measured data regarding a first etch process over successive time intervals to form a first recorded data matrix, assembling a first endpoint signal matrix using target endpoint data for a specific etch process, performing a partial least squares analysis on the recorded data matrix and the first endpoint signal matrix to refine the recorded data matrix, and computing a correlation matrix based upon the refined recorded data matrix and the first endpoint signal matrix. The method further includes performing a second etch process to form a second recorded data matrix. The correlation matrix and the second recorded data matrix are analyzed to determine whether an endpoint of the second etch process has been achieved.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: November 20, 2007
    Assignee: Tokyo Electron Limited
    Inventors: David Fatke, Hongyu Yue
  • Publication number: 20070251919
    Abstract: In the bevel etching apparatus relating to the present invention, a substrate is inserted between electrically connected electrodes. A high-frequency power source is connected to the electrodes, and ground potential is applied to a support unit that supports the substrate. Gas (atmosphere) is supplied to the gap between the electrodes and the application of the high-frequency electric power to the electrodes causes the generation of atmospheric-pressure glow discharge between the electrode and the substrate. Bevel etching is performed by rotating the substrate along the circumferential direction in this condition. According to this construction, the bevel etching can be simultaneously performed to the front surface, the rear surface and the side of the substrate without causing any configuration change in the substrate.
    Type: Application
    Filed: April 27, 2007
    Publication date: November 1, 2007
    Inventor: Shin-ichi Imai
  • Patent number: 7288204
    Abstract: Method for generating an atmospheric pressure glow plasma (APG), wherein said plasma is generated in a discharge space between a plurality of electrodes. A dielectric is present on at least one of said electrodes, said dielectric having a boundary surface with said plasma enabling interactions between said plasma and said surface. Said dielectric is arranged for releasing electrons contributing to said plasma from said surface by said interactions.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: October 30, 2007
    Assignee: Fuji Photo Film B.V.
    Inventors: Hindrik Willem De Vries, Fuyuhiko Mori, Eugen Aldea, Mauritius Cornelius Maria Van De Sanden
  • Patent number: 7286948
    Abstract: Methods for determining characteristics of a plasma are provided. In one embodiment, a method for determining characteristics of a plasma includes obtaining metrics of current and voltage information for first and second waveforms coupled to a plasma at different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform. In another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, and determining at least one characteristic of a plasma using model. In yet another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, measuring current and voltage for waveforms coupled to the plasma and having at least two different frequencies, and determining ion mass of a plasma from model and the measured current and voltage of the waveforms.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: October 23, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Patent number: 7279114
    Abstract: The invention is directed to an etching method for patterning a first material layer over a second material layer to expose a portion of the second material layer. The etching method comprises steps of performing a first etching process to remove a portion of the first material layer in an etching chamber and then performing an etching environment adjustment process in the etching chamber. A second etching process is performed on the first material layer and, meanwhile, a real-time etching monitor process is performed for generating an endpoint detection spectrum subsequent to the etching environment adjustment process, wherein at least one of signals of the endpoint detection spectrum is stabilized by the inert gas plasma treatment.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: October 9, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Ping Hong
  • Publication number: 20070231934
    Abstract: A classification apparatus for the semiconductor substrate is provided with a bow measuring section which accepts silicon substrates and measures respective bows thereof. The classification apparatus is also provided with a bow judging section which, based on one or more standard value(s) set in advance, checks a measurement result by the bow measuring section against the standard value(s). The bow judging section judges to which of ranges defined based on the standard value(s) of the bow the measurement result by the bow measuring section belongs. Further, the classification apparatus is provided with a sorting section which accepts the silicon substrate having been measured by the bow measuring section and sorts the accepted silicon substrates based on the judgment results by the bow judging section. In other words, silicon substrates are grouped according to the bows by the sorting section. Then, respective silicon substrates are discharged in a grouped state.
    Type: Application
    Filed: October 23, 2006
    Publication date: October 4, 2007
    Applicant: FUJITSU LIMITED
    Inventors: Toshiya Sato, Katsuto Tanahashi
  • Publication number: 20070215574
    Abstract: A prediction method for a substrate processing apparatus is to predict processing results from operation data on the substrate processing apparatus during a procedure for processing a target processing substrate in a processing chamber of the substrate processing apparatus. The method includes the steps of: collecting operation data obtained; and obtaining a moving average of a preset number of sets of data using the processing result data collected at the data collection step. The method further includes the steps of: performing multivariate analysis using the operation data collected at the data collection step and the moving average processing result data obtained at the moving average processing step; and predicting processing results using operation data obtained when a target processing substrate, other than the target processing substrate used to obtain the correlation at the analysis step, is processed on a basis of the correlation.
    Type: Application
    Filed: March 9, 2007
    Publication date: September 20, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hideki TANAKA
  • Publication number: 20070215575
    Abstract: A method and system for high-speed, precise, laser-based modification of at least one electrical element made of a target material is provided. The system includes a laser subsystem that generates a pulsed laser output wherein each laser pulse has a pulse energy, a laser wavelength within a range of ablation sensitivity of the target material, and a pulse duration short enough to substantially reduce ablation threshold energy density of the target material. The system further includes a beam positioner that selectively irradiates the at least one electrical element with the one or more laser pulses focused into at least one spot so as to cause the one or more laser pulses to selectively ablate a portion of the target material from the at least one element while avoiding both substantial spurious opto-electric effects and undesirable damage to the non-target material.
    Type: Application
    Filed: February 21, 2007
    Publication date: September 20, 2007
    Inventors: Bo Gu, Donald J. Svetkoff
  • Publication number: 20070218691
    Abstract: A plasma etching method includes the step of performing a plasma etching on a CFx film formed on a substrate to be processed by using a plasma of an etching gas. A gaseous mixture including CF4 and O2 is employed as the etching gas. The etching gas further includes a hydrogen-containing gas and the hydrogen-containing gas is CH3F or CH2F2. Further, a plasma etching apparatus includes a processing chamber; a processing gas supply unit; a plasma generating unit, thereby plasma processing the semiconductor substrate; and a control unit. Furthermore, in a computer-readable storage medium for storing therein a computer executable control program, the control program controls a plasma processing apparatus to perform the plasma etching method.
    Type: Application
    Filed: March 16, 2007
    Publication date: September 20, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yuki Chiba
  • Patent number: 7270760
    Abstract: A method and apparatus are provided for simulating a standard wafer in semiconductor manufacturing equipment. The apparatus includes a support layer suitable for being handled by the semiconductor manufacturing equipment. Applied to the support layer is a mixture including a process agent and a material. During use, the present invention simulates a standard production wafer including material similar to that in the mixture of the present invention.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: September 18, 2007
    Assignee: Lam Research Corporation
    Inventors: Gregory J. Goldspring, Robert J. O'Donnell
  • Publication number: 20070199657
    Abstract: The invention aims at solving the problems of throughput deterioration, reproducibility deterioration and plasma discharge instability when performing continuous discharge during multiple steps of plasma etching. According to the present invention, the gas supply unit is operated while determining the timing for switching conditions of a plurality of plasma etching steps, and the gas flow rate and gas pressure are controlled so that the pressure of processing gas supplied from the gas supply unit to the processing chamber does not fall below a predetermined pressure immediately subsequent to switching steps.
    Type: Application
    Filed: August 8, 2006
    Publication date: August 30, 2007
    Inventors: Naoyuki Kofuji, Hiroshi Akiyama
  • Publication number: 20070187361
    Abstract: A light-shielding layer over a transparent substrate is processed into a predetermined pattern by first etching and then a recess is formed in an underlying layer below the light-shielding layer by second etching using at least the light-shielding layer as a mask. Subsequently, a defect inspection of the recess is performed. If, as a result of the inspection, a residue defect is detected at the recess otherwise formed in the underlying layer below the light-shielding layer, defect portion data of a pattern of a region including a residue-defect portion is produced and a repairing resist pattern is formed on the light-shielding layer based on the defect portion data. Then, third etching is applied to the underlying layer below the light-shielding layer using the light-shielding layer and the repairing resist pattern as a mask, thereby repairing the residue-defect portion.
    Type: Application
    Filed: February 6, 2007
    Publication date: August 16, 2007
    Applicant: HOYA CORPORATION
    Inventor: Hideki Suda
  • Patent number: 7241397
    Abstract: An optical window deposition shield including a backing plate having a through hole, and a honeycomb structure having a plurality of adjacent cells configured to allow optical viewing through the honeycomb structure. Each cell of the honeycomb structure has an aspect ratio of length to diameter sufficient to impede a processing plasma from traveling through the full length of the cell. A coupling device configured to couple the honeycomb core structure to the backing plate such that the honeycomb structure is aligned with at least a portion of the through hole in the backing plate. The optical window deposition shield shields the optical viewing window of a plasma processing apparatus from contact with the plasma.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: July 10, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Steven T. Fink, Andrej S. Mitrovic, Paula A. Calabrese
  • Patent number: 7238292
    Abstract: A head including a write element for writing data to a magnetic media, and methods for its production are provided. A write element of the invention includes one or more of a recessed first pole, a heat sink layer, and a shortened yoke length. A method of the invention provides forming an anti-reflective layer before forming a mask layer. During photolithography the anti-reflective layer suppresses undesirable reflections off of features, such as vertical sidewalls, that otherwise limit how closely to such features portions of the mask layer can be formed.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: July 3, 2007
    Assignee: Western Digital (Fremont), Inc.
    Inventors: Li He, Ming Zhao, Yining Hu
  • Publication number: 20070138136
    Abstract: The present invention provides a method for processing a photolithographic substrate within a vacuum chamber. The method comprising the steps of cooling the photolithographic substrate to a target temperature before the photolithographic substrate is processed within the vacuum chamber. At least one processing gas is introduced into the vacuum chamber. After the photolithographic substrate is at the target temperature, a plasma is ignited from the processing gas wherein the photolithographic substrate is processed using the plasma. Upon completion of the processing, the photolithographic substrate is unloaded from the vacuum chamber.
    Type: Application
    Filed: December 4, 2006
    Publication date: June 21, 2007
    Inventor: Jason Plumhoff
  • Patent number: 7217371
    Abstract: The present invention relates to interfacing new sensors to incumbent controls. In particular, it relates to optically interfacing a new sensor, such as a spectrometer with plasma generator, to an incumbent electro-optical sensor. Logic and resources to control activation of the incumbent electro-optical sensor may be included. Particular aspects of the present invention are described in the claims, specification and drawings.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: May 15, 2007
    Assignee: Lightwind Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 7211196
    Abstract: A method and system for determining a substrate type during a seasoning process is presented. An optical signal is acquired from a process in a plasma processing system, and the optical signal is compared to a pre-determined threshold value. Depending upon the comparison, the substrate type is determined to be of a correct type, or an incorrect type.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: May 1, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Hieu A. Lam, Hongyu Yue
  • Patent number: 7205240
    Abstract: A gapfill process is provided using cycling of HDP-CVD deposition, etching, and deposition step. The fluent gas during the first deposition step includes an inert gas such as He, but includes H2 during the remainder deposition step. The higher average molecular weight of the fluent gas during the first deposition step provides some cusping over structures that define the gap to protect them during the etching step. The lower average molecular weight of the fluent gas during the remainder deposition step has reduced sputtering characteristics and is effective at filling the remainder of the gap.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: M. Ziaul Karim, Bikram Kapoor, Anchuan Wang, Dong Qing Li, Katsunari Ozeki, Manoj Vellaikal, Zhuang Li
  • Patent number: RE40264
    Abstract: The present invention provides a technique, including a method and apparatus, for etching a substrate in the manufacture of a device. The apparatus includes a chamber and a substrate holder disposed in the chamber. The substrate holder has a selected thermal mass to facilitate changing the temperature of the substrate to be etched during etching processes. That is, the selected thermal mass of the substrate holder allows for a change from a first temperature to a second temperature within a characteristic time period to process a film. The present technique can, for example, provide different processing temperatures during an etching process or the like.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: April 29, 2008
    Inventor: Daniel L. Flamm