With Measuring, Testing, Or Inspecting Patents (Class 216/59)
  • Patent number: 7704889
    Abstract: By controlling the flow rate of one or more gaseous components of an etch ambient during the formation of metal lines and vias on the basis of feedback measurement data from critical dimensions, process variations may be reduced, thereby enhancing performance and reliability of the respective metallization structure.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: April 27, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthias Schaller, Uwe Schulze, Mathias Baranyai
  • Publication number: 20100096361
    Abstract: Universal plasma unconfinement detection systems configured to detect the plasma unconfinement condition in the plasma processing chamber and methods therefor. The detection systems and methods are designed to reliably and accurately detect the existence of the plasma unconfinement condition in a process-independent and recipe-independent manner.
    Type: Application
    Filed: June 28, 2006
    Publication date: April 22, 2010
    Inventors: Andreas Fischer, David Pirkle
  • Publication number: 20100089869
    Abstract: Devices for performing nanofabrication are provided which provide small volume reaction space and high reaction versatility. A device may include a reaction chamber adapted for nanoscale modification of a substrate and vacuum conditions; a scanning probe tip assembly enclosed within the reaction chamber; a first port coupled to the reaction chamber for delivering a gas; a second port coupled to the reaction chamber for applying a vacuum; and a substrate assembly insertedly mounted to the reaction chamber. The reaction chamber may include a body having one or more flexible walls and one or more supports to prevent the reaction chamber from collapsing under a vacuum. The device may further include an electrical conduit for coupling the tips of the scanning probe tip assembly to electrical components outside the reaction chamber. Also provided are apparatuses incorporating the devices and methods of using the devices and apparatuses.
    Type: Application
    Filed: May 13, 2009
    Publication date: April 15, 2010
    Inventors: John Edward BUSSAN, Michael R. Nelson, Joseph S. Fragala, Albert K. Henning, Jeffrey R. Rendlen
  • Patent number: 7691278
    Abstract: An apparatus generating a plasma for removing fluorinated polymer from a substrate is disclosed. The embodiment includes a powered electrode assembly, including a powered electrode, a first dielectric layer, and a first wire mesh disposed between the powered electrode and the first dielectric layer. The embodiment also includes a grounded electrode assembly disposed opposite the powered electrode assembly so as to form a cavity wherein the plasma is generated, the first wire mesh being shielded from the plasma by the first dielectric layer when the plasma is present in the cavity, the cavity having an outlet at one end for providing the plasma to remove the fluorinated polymer.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: April 6, 2010
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, John Boyd, Andras Kuthi, Andrew D. Bailey, III
  • Patent number: 7678289
    Abstract: A method of indicating the progress of a sacrificial material removal process, the method, comprising; freeing a portion of a member, the member being disposed in a cage and laterally surrounded by the sacrificial material; and preventing the freed portion of the member from floating away by retaining the freed member.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: March 16, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Stephen Jalrus Potochnik, Kenneth James Faase
  • Patent number: 7678288
    Abstract: A method of manufacturing bonded substrate structures. The method includes providing a first substrate comprising a first surface region and processing the first surface region to form a first pattern region using a first photolithographic stepper characterized by a first tolerance criteria for alignment. The method also includes providing a second substrate comprising a second surface region and processing the second surface region through at least one masking process to form a second pattern region using a second photolithographic stepper characterized by a second tolerance criteria for alignment.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: March 16, 2010
    Assignee: Miradia Inc.
    Inventors: Xiao Yang, Kegang Huang, Yuxiang Wang, Howard Woo
  • Patent number: 7674393
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: March 9, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 7674394
    Abstract: A method of processing a workpiece in the chamber of a plasma reactor includes capacitively coupling plasma source power using a ceiling gas distribution plate as the electrode while inductively coupling plasma source power through the ceiling gas distribution plate, and flowing process gas through the gas distribution plate from a gas input to plural gas injection orifices, distributing the gas flow within the gas distribution plate through a succession of arcuate paths joined at respective junctions, dividing gas flow at each junction from a first respective one of said gas flow paths into a respective pair of said gas flow paths in opposite gas flow directions, and restricting the arcuate length of each of the arcuate paths to less than half-circles.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Paterson, Valentin N. Todorov, Theodoros Panagopoulos, Brian K. Hatcher, Dan Katz, Edward P. Hammond, IV, John P. Holland
  • Patent number: 7672750
    Abstract: An etching monitoring apparatus and related method for use in the manufacture of microstructures (and in particular MEMS) located within an etching chamber is described. The apparatus and related method operates by setting the temperature of the chamber within which the microstructure is located at a starting temperature, and maintaining the partial pressure of an etching gas within the chamber at a constant value. As a result the surface temperature of the micro structure within the chamber is primarily determined by the etch rate. Therefore, by employing a thermometer to monitor the change in etching surface temperature, a direct diagnostic for monitoring the etching process is provided.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: March 2, 2010
    Assignee: Point 35 Microstructures Ltd.
    Inventors: Anthony O'Hara, Michael Leavy, Graeme Pringle
  • Patent number: 7670857
    Abstract: An inspection method is provided for accurate measurement of conductive materials as defects within a silicon oxide film base material embedded in a SOI wafer sample. In the method, the internal state of a sample 2 is inspected by measuring an conductive material within an insulating base material 11 formed upon the sample 2. Ions or electrons are irradiated upon the surface of the inspection region of the base material 11. A surface image is imaged with secondary electrons emitted from the surface 11a and the vicinity of the surface. The inspection region is etched and a surface image is imaged successively with secondary electrons emitted from a surface 11b and from its vicinity, renewed successively at the etched depth. The conductive material within the base material 11 is measured based upon the accumulated surface images.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: March 2, 2010
    Assignee: Sumco Corporation
    Inventors: Akira Okubo, Hideyuki Kondo
  • Patent number: 7662646
    Abstract: In a plasma processing method, a correlation between substrate type data and optical data is obtained by using a multivariate analysis; substrate type data is obtained from optical data based on the correlation when initiating a plasma processing; and a substrate type is determined by using the obtained substrate type data. Further, a setting data set corresponding to the determined substrate type is selected from setting data sets, each for detecting a plasma processing end point of the plasma processing, each of the setting data sets being stored in advance in a data storage unit; an end point of the plasma processing is detected based on the selected setting data set; and the plasma processing is terminated at the detected end point.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: February 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kosuke Ogasawara, Susumu Saito, Syuji Nozawa
  • Publication number: 20100025368
    Abstract: A high throughput thermal treatment system for processing a plurality of substrates is described. The thermal treatment system is configured to thermally treat a plurality of substrates chemically treated in a dry, non-plasma environment.
    Type: Application
    Filed: July 31, 2008
    Publication date: February 4, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Thomas Hamelin, Arthur H. Laflamme, JR., Gregory R. Whyman
  • Patent number: 7648914
    Abstract: Embodiments of the invention generally provide methods for etching a substrate. In one embodiment, the method includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support. In another embodiment, the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material and etching a second layer of material respectively using different control parameter sets.
    Type: Grant
    Filed: March 2, 2006
    Date of Patent: January 19, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thomas J. Kropewnicki, Theodoros Panagopoulos, Nicolas Gani, Wilfred Pau, Meihua Shen, John P. Holland
  • Patent number: 7648916
    Abstract: Methods for monitoring and detecting optical emissions while performing photoresist stripping and removal of residues from a substrate or a film stack on a substrate are provided herein. In one embodiment, a method is provided that includes positioning a substrate comprising a photoresist layer into a processing chamber; processing the photoresist layer using a multiple step plasma process; and monitoring the plasma for a hydrogen optical emission during the multiple step plasma process; wherein the multiple step plasma process includes removing a bulk of the photoresist layer using a bulk removal step; and switching to an overetch step in response to the monitored hydrogen optical emission.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: January 19, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Elizabeth G. Pavel, Mark N. Kawaguchi, James S. Papanu
  • Patent number: 7637029
    Abstract: A vapor drying apparatus comprises a processing chamber 1a adapted to contain semiconductor wafers W; a supply nozzle 2 adapted to supply IPA vapor or N2 gas into the processing chamber 1a; a two-fluid nozzle 3 connected to both of an IPA supply source 8 and an N2 gas supply source 5 and adapted to produce a mixed fluid of IPA and N2 gas; a vapor generating apparatus 10 adapted to produce IPA vapor by heating the mixed fluid produced by the two-fluid nozzle 3; an N2 gas supply line 23 connected to the upstream side of the two-fluid nozzle 3; and a mixed fluid supply line 22 connected to the downstream side of the two-fluid nozzle 3. An open-and-close valve V2 is provided on a branch line 25 connecting the N2 gas supply line 23 and the mixed fluid supply line 22.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Yuji Kamikawa, Kazuhiko Kobayashi, Nobutaka Kuroda, Mikio Nakashima, Osamu Tsuda
  • Publication number: 20090308843
    Abstract: A controlled method of releasing a microstructure comprising a silicon oxide layer located between a substrate layer and a layer to be released from the silicon oxide layer is described. The method comprises the step of exposing the silicon oxide layer to a hydrogen fluoride vapour in a process chamber having controlled temperature and pressure conditions. A by-product of this reaction is water which also acts as a catalyst for the etching process. It is controlled employment of this inherent water source that results in a condensed fluid layer forming, and hence etching taking place, only on the exposed surfaces of the oxide layer. The described method therefore reduces the risk of the effects of capillary induced stiction within the etched microstructure and/or corrosion within the microstructure and the process chamber itself.
    Type: Application
    Filed: August 2, 2007
    Publication date: December 17, 2009
    Applicant: Point 35 Microstructures Limited
    Inventor: Anthony O'Hara
  • Patent number: 7625824
    Abstract: The present invention provides a method for creating a process change detection algorithm. An evolutionary computing technique is applied to at least one process dataset containing at least one known process change. The evolutionary computing technique will generate a process state function (or a scaling coefficient set for use with an existing process state function) that optimizes detection of the known process changes. The generated process state function or coefficients can then be applied thereafter to future datasets (either in real-time or after processing) to detect process changes.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: December 1, 2009
    Assignee: Oerlikon USA, Inc.
    Inventor: Jason Plumhoff
  • Patent number: 7625494
    Abstract: The present invention is a plasma etching method including: an arranging step of arranging a pair of electrodes oppositely in a chamber and making one of the electrodes support a substrate to be processed in such a manner that the substrate is arranged between the electrodes, the substrate having an organic-material film and an inorganic-material film; and an etching step of applying a high-frequency electric power to at least one of the electrodes to form a high-frequency electric field between the pair of the electrodes, supplying a process gas into the chamber to form a plasma of the process gas by means of the electric field, and selectively plasma-etching the organic-material film of the substrate with respect to the inorganic-material film by means of the plasma; wherein a frequency of the high-frequency electric power applied to the at least one of the electrodes is 50 to 150 MHz in the etching step.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: December 1, 2009
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Masanobu Honda, Kazuya Nagaseki, Koichiro Inazawa, Shoichiro Matsuyama, Hisataka Hayashi
  • Patent number: 7622392
    Abstract: A method of processing a substrate that enables the amount removed of an insulating film to be controlled precisely, without damaging an electronic device. An insulating film on a substrate of a solid-state imaging device is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film that has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki
  • Patent number: 7622048
    Abstract: A method of etching a silicon substrate is described. The method includes bonding a first silicon substrate to a sacrificial silicon substrate. The first silicon substrate is etched. A pressure is applied at an interface of the first silicon substrate and the sacrificial silicon substrate to cause the first silicon substrate to separate from the sacrificial silicon substrate. An apparatus having metal blades can be used to separate the substrates.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: November 24, 2009
    Assignee: Fujifilm Dimatix, Inc.
    Inventors: Jeffrey Birkmeyer, Stephen R. Deming, Zhenfang Chen
  • Patent number: 7620511
    Abstract: Methods for determining characteristics of a plasma are provided. In one embodiment, a method for determining characteristics of a plasma includes obtaining metrics of current and voltage information for first and second waveforms coupled to a plasma at different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform. In another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, and determining at least one characteristic of a plasma using model. In yet another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, measuring current and voltage for waveforms coupled to the plasma and having at least two different frequencies, and determining ion mass of a plasma from model and the measured current and voltage of the waveforms.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: November 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari
  • Patent number: 7604010
    Abstract: A film formation apparatus for a semiconductor process includes a cleaning gas supply circuit, a concentration measuring section, and an information processor. The cleaning gas supply circuit is configured to supply a cleaning gas into a reaction chamber to perform cleaning of removing from an inner surface of the reaction chamber a by-product film derived from a film formation gas. The concentration measuring section is disposed in an exhaust system to monitor concentration of a predetermined component contained in exhaust gas from the reaction chamber. The information processor is configured to compare a measurement value obtained by the concentration measuring section with a preset value and to thereby determine an end point of the cleaning.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: October 20, 2009
    Assignees: Tokyo Electron Limited, HORIBA Ltd.
    Inventors: Kazuhide Hasebe, Nobutake Nodera, Atsushi Endo, Makoto Umeki, Katsumi Nishimura, Masakazu Minami, Makoto Yoshida
  • Patent number: 7601272
    Abstract: An apparatus for integrating metrology and etch processing is disclosed. The apparatus comprises a multi-chamber system having a transfer chamber, an etch chamber and a metrology chamber, and a robot configured to transfer a substrate between the etch chamber and the metrology chamber. A method of processing a substrate and performing metrology measurement using this apparatus is also disclosed.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: October 13, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Khiem K. Nguyen, Richard Lewington
  • Patent number: 7578945
    Abstract: In a plasma processing system, a method of tuning of a set of plasma processing steps is disclosed. The method includes striking a first plasma comprising neutrals and ions in a plasma reactor of the plasma processing system. The method also includes etching in a first etching step a set of layers on a substrate; positioning a movable uniformity ring around the substrate, wherein a bottom surface of the uniformity ring is about the same height as a top surface of the substrate; and striking a second plasma consisting essentially of neutrals in the plasma reactor of the plasma processing system. The method further includes etching in a second etching step the set of layers on the substrate; and wherein the etching in the first step and the etching in the second step are substantially uniform.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: August 25, 2009
    Assignee: Lam Research Corporation
    Inventors: Vahid Vahedi, John Daugherty, Harmeet Singh, Anthony Chen
  • Publication number: 20090206056
    Abstract: A multi-station workpiece processing system provides a targeted equal share of a regulated input process gas flow to each active processing station of a plurality of active processing stations using a single gas flow regulator for each gas and irrespective of the number of inactive processing stations.
    Type: Application
    Filed: February 6, 2009
    Publication date: August 20, 2009
    Inventors: Songlin Xu, Daniel J. Devine, Wen Ma, Ce Qin, Vijay Vaniapura
  • Publication number: 20090194505
    Abstract: Techniques are described for improving the quality and yield of vacuum-processed substrates. A system can include a tape-like substrate that is supplied by unwind spool to a web guide, tension control roller, and additional idler rolls. The substrate can then enter a coating zone, following an essentially spiral pathway and traversing the coating source a number of times before exiting the coating zone and rewinding on spool. The effect of multiple passes through various flux areas of source is to smooth and average out the coating thickness non-uniformities resulting from a non-uniform flux. Related methods are described. Embodiments can be particularly well suited for the manufacture of data tapes including, but not limited to, metal evaporated magnetic, magneto-optical, phase change optical, and preformatted, or thin-film electronics, sensors, RFID tags, and solar films, to name a few examples.
    Type: Application
    Filed: January 26, 2009
    Publication date: August 6, 2009
    Applicant: MICROCONTINUUM, INC.
    Inventor: W. Dennis Slafer
  • Publication number: 20090188892
    Abstract: A method of checking a substrate edge processing apparatus, which can accurately check the state of the substrate edge processing apparatus. The thickness of an organic film formed on a surface of a substrate is measured, and a predetermined process in which undesired substance attached to an edge of the substrate is removed is carried out on a predetermined portion of the organic film using the substrate edge processing apparatus. The film thickness of the predetermined portion is then measured. The removal amount of the organic film is calculated based on the measurement results, and the performance of the substrate edge processing apparatus is evaluated based on the calculated removal amount.
    Type: Application
    Filed: January 14, 2009
    Publication date: July 30, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kumiko Yamazaki, Isamu Sakuragi, Akinori Kitamura
  • Patent number: 7540971
    Abstract: A plasma etch process etches high aspect ratio openings in a dielectric film on a workpiece in a reactor having a ceiling electrode overlying the workpiece and an electrostatic chuck supporting the workpiece. The process includes injecting a polymerizing etch process gas through an annular zone of gas injection orifices in the ceiling electrode, and evacuating gas from the reactor through a pumping annulus surrounding an edge of the workpiece. The high aspect ratio openings are etched in the dielectric film with etch species derived from the etch process gas while depositing a polymer derived from the etch process gas onto the workpiece, by generating a plasma in the reactor by applying VHF source power and/or HF and/or LF bias power to the electrodes at the ceiling and/or the electrostatic chuck.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: June 2, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Patent number: 7531101
    Abstract: A method of eliminating, using a beam of laser radiation, defects lying within a laminate formed from at least a first substrate and from at least a second substrate. The laminate incorporates, between the first and second substrates, at least one smart active system. The method locates at least one defect lying within the active system and ablates the defect, by circumscribing the defect using a laser beam.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: May 12, 2009
    Assignee: Saint-Gobain Glass France
    Inventor: Fabien Beteille
  • Patent number: 7520999
    Abstract: A method for processing a workpiece in a plasma reactor chamber by applying RF source power to inner and outer source power applicators, and introducing a process gas into the reactor while rotating at least one of (a) the workpiece, (b) the outer source power applicator, about a radial tilt axis to a position at which the plasma distribution is nearly symmetrical, and translating the inner source power applicator relative to the outer source power applicator along the axis of symmetry to a location at which the spatial distribution is nearly uniform.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Ajay Kumar, Ibrahim M. Ibrahim, Michael N. Grimbergen, Renee Koch, Sheeba J. Panayil
  • Patent number: 7521370
    Abstract: A plasma reactor chamber is characterized by performing the following steps: (a) for each one of the chamber parameters, ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to the wafer support pedestal and computing from each sample of the RF electrical parameters the values of the plasma parameters, and storing the values with the corresponding levels of the one chamber parameter as corresponding chamber parameter data; (b) for each one of the chamber parameters, deducing, from the corresponding chamber parameter data, a single variable function for each of the plasma parameters having the one chamber parameter as an independent variable.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Daniel J. Hoffman
  • Patent number: 7517468
    Abstract: The present invention is a method of etching a lower layer film (64) of an organic material formed on a surface layer (61) of a substrate, using an upper layer film (63) of an Si-containing organic material as a mask. A mixed gas containing an NH3 gas and an O2 gas is supplied into the processing vessel as an etching gas, so as to perform etching by a plasma of the etching gas. When the etching gas is supplied into the processing vessel, a CD shift value of etching can be controlled by adjusting a flow ratio of O2 gas to the NH3 gas. Specifically, a satisfactory CD shift value can be obtained when the flow ratio is from 0.5 to 20%, and preferably, 5 to 10%.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: April 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shuhei Ogawa, Rie Inazawa, legal representative, Koichiro Inazawa
  • Patent number: 7510665
    Abstract: A method for controlling a plasma in a semiconductor substrate processing chamber is provided. The method includes the steps of supplying a first RF signal to a first electrode within the processing chamber at a first frequency selected to cause plasma sheath oscillation at the first frequency; and supplying a second RF signal from the source to the first electrode at a second frequency selected to cause plasma sheath oscillation at the second frequency, wherein the second frequency is different from the first frequency by a differential equal to a desired frequency selected to cause plasma sheath oscillation at the desired frequency.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: March 31, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Alexander Paterson, Theodoros Panagopoulos, John P. Holland, Dennis S. Grimard, Daniel J. Hoffman
  • Patent number: 7504340
    Abstract: A system and method is disclosed for providing contact etch selectivity for the etching of a plurality of contact etch holes through a dielectric layer of an integrated circuit. The method comprises the steps of obtaining a value of the reactive ion etch (RIE) lag for the dielectric layer, and selecting different values for the diameters of the contact etch holes based upon the desired depths of the contact etch holes and on the value of the RIE lag for the dielectric layer. The invention also comprises a contact diameter application processor that is capable of using RIE lag data to calculate contact diameters for contact etch holes for a mask design layout of an integrated circuit.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: March 17, 2009
    Assignee: National Semiconductor Corporation
    Inventors: Sergei Drizlikh, Thomas John Francis, Lee James Jacobson
  • Publication number: 20090065477
    Abstract: In a system and method of etching a sample disposed in an etching chamber, a plurality of separately stored charges of an etching gas is discharged, one at a time, into a sample etching chamber. The discharge of each charge of etching gas occurs such that a momentary overlap exists in the end discharge of one charge of etching gas with the beginning discharge of another charge of etching gas, whereupon the desired flow of etching gas into the etching chamber is maintained. During discharge of one charge of etching gas, a previously discharged charge of etching gas is recharged. The process of discharging a plurality of separately stored charges of an etching gas, one at a time, and recharging at least one previously discharged charges of etching gas during the discharge of at least one charge of etching gas continues until the sample is etched to a desired extent.
    Type: Application
    Filed: November 30, 2006
    Publication date: March 12, 2009
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Publication number: 20090061540
    Abstract: The present invention provides a plasma process detecting sensor. In the plasma process detecting sensor, a hole diameter of an insulating film is spread with almost no spread of a hole diameter of an upper electrode. Therefore, when the plasma process detecting sensor is exposed to a plasma, positive ions incident onto the bottom of a contact hole are hard to collide with an inner wall surface of a hole main body of the insulating film. As a result, the inner wall surface of the hole main body of the insulating film is hard to undergo damage, and the generation of a defect level that assists electric conduction can be suppressed. It is thus possible to suppress age deterioration of a sensor function during the measurement of a charge-up under an environment of a plasma etching condition.
    Type: Application
    Filed: July 18, 2008
    Publication date: March 5, 2009
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Tomohiko Tatsumi
  • Patent number: 7498106
    Abstract: A method for controlling etch processes during fabrication of semiconductor devices comprises tests and measurements performed on non-product and product substrates to define an N-parameter CD control graph that is used to calculate a process time for trimming a patterned mask to a pre-determined width. An apparatus for performing such a method.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: David S L Mui, Wei Liu, Hiroki Sasano
  • Publication number: 20090026170
    Abstract: A plasma processing apparatus can prevent a sheath from becoming distorted, simplify a configuration of the apparatus, and prevent particles from attaching to a substrate. The plasma processing apparatus performs plasma processing on the substrate. A housing chamber houses the substrate. A mounting stage is disposed within the housing chamber and mounted with the substrate. An annular member is disposed in the mounting stage. A power supply unit supplies high-frequency power to the mounting stage. An observation unit optically observes the distribution of the plasma. A voltage applying unit applies a DC voltage to the annular member. A control unit sets the value of the DC voltage to be applied based on the observed plasma distribution.
    Type: Application
    Filed: March 11, 2008
    Publication date: January 29, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi TANAKA, Chishio Koshimizu, Manabu Iwata, Naoki Matsumoto, Toru Ito
  • Patent number: 7481944
    Abstract: This invention accurately detects an etch amount of an etching target layer irrespective of a type of a mask layer. A light La is reflected by an upper surface of a photoresist mask layer 316 and a bottom of a hole H. Thereby a reflected light La1 and a reflected light La2 are obtained. The reflected lights La1 and La2 interfere with each other, thereby generating an interference light Lai. A light Lb is reflected by an interface between the photoresist mask layer 316 and a polysilicon film 304, and the upper surface of the photoresist mask layer 316. Thereby a reflected light Lb1 and a reflected light Lb2 are obtained. The reflected lights Lb1 and Lb2 interfere with each other, thereby generating an interference light Lb1. Using the interference lights Lai and Lbi, an etch amount of the polysilicon film 304 is calculated.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: January 27, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Syuji Nozawa, Katsuhiro Nishimaki
  • Patent number: 7479236
    Abstract: A method for calculating a process center for a chuck in a processing chamber is provided. The method includes generating pre-processing and post-processing measurement data points, which is perform by measuring thickness of a film substrate at a set of orientations and a set of distances from a geometric center of the substrate. The method also includes comparing the pre-processing and post-processing measurement data points to calculate a set of etch depth numbers. The method further includes generating etch profiles for the set of orientations. The method yet also includes extrapolating a set of radiuses, which is associated with a first etch depth, from the etch profiles. The method yet further includes generating an off-centered plot, which is a graphical representation of the set of radiuses versus the set of orientations. The method more over includes calculating the process center by applying a curve-fitting equation to the off-centered plot.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: January 20, 2009
    Assignee: Lam Research Corporation
    Inventors: Jack Chen, Andrew D Bailey, III, Ben Mooring, Stephen J. Cain
  • Publication number: 20090008362
    Abstract: A plasma processing apparatus includes a microwave-absorbing heat-generating member disposed along an inside surface of a plasma processing chamber to absorb microwaves and generate heat, wherein the microwave-absorbing heat-generating member is heated by microwaves without exciting plasma, and wherein, after that, a substrate to be processed is loaded into the plasma processing chamber and then plasma is excited to process the substrate.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 8, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Manabu Matsuo
  • Patent number: 7473332
    Abstract: A method of processing a semiconductor which includes providing a process gas supply unit for supplying a process gas to a sample stand to hold a sample in a process chamber and to the process chamber, successively supplying a plurality of samples of a lot to the process chamber to conduct an intra-lot successive process, predicting, before a lot process is started and according to sensor data detected by a state sensor to detect a state in the process chamber, intra-lot variation patterns of results of the intra-lot successive process, and changing, according to a result of the prediction by the intra-lot variation pattern prediction unit, a process condition applied to a sample of the lot and conducting the lot process.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: January 6, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Junichi Tanaka, Hiroyuki Kitsunai, Hideyuki Yamamoto, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 7470626
    Abstract: A plasma reactor chamber is characterized by performing two steps for each one of plural selected chamber parameters. The first step consists of ramping the level of the one chamber parameter while sampling RF electrical parameters at an RF bias power input to said wafer support pedestal and computing from each sample of said RF electrical parameters the values of the plasma parameters. The second step consists of deducing, from the corresponding chamber parameter data generated in the first step, a single variable function for each of the plural plasma parameters having said one chamber parameter as an independent variable, and constructing combinations of these functions that are three variable functions having each of the chamber parameters as a variable.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: December 30, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7470544
    Abstract: Provided is a sensor array and a method of forming the same. The sensor array includes an array of apertures etched into a 3D patterned resist layer to expose areas of one or more agents and/or reagents deposited on a substrate. The sensor is formed using a Self-Aligned Imprint Lithography (“SAIL”) method, a process that allows for a one-time deposition of all required materials followed by a series of etching/cleaning steps. The location of reagents on the sensor template, as well as the concentration gradient of each reagent, may be controlled through the sensor manufacturing process. Bores of a single reagent, or bores containing two or more reagents, may be formed using the SAIL process.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: December 30, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Manish Sharma
  • Patent number: 7462293
    Abstract: An apparatus for measuring plasma electron density precisely measures electron density in plasma even under a low electron density condition or high pressure condition. This plasma electron density measuring apparatus includes a vector network analyzer in a measuring unit, which measures a complex reflection coefficient and determines a frequency characteristic of an imaginary part of the coefficient. A resonance frequency at a point where the imaginary part of the complex reflection coefficient is zero-crossed is read and the electron density is calculated based on the resonance frequency by a measurement control unit.
    Type: Grant
    Filed: May 1, 2007
    Date of Patent: December 9, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Yohei Yamazawa, Chishio Koshimizu
  • Patent number: 7452824
    Abstract: The invention involves a method of characterizing a plasma reactor chamber through the behavior of many selected plasma parameters as functions of many selected chamber parameters. The plasma parameters may be selected from a group including ion density, wafer voltage, etch rate and wafer current or other plasma parameters. The chamber parameters are selected from a group including source power, bias power, chamber pressure, magnetic coil current in different magnetic coils, gas flow rates in different gas injection zones and species composition of the gas in different gas injection zones.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: November 18, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7452476
    Abstract: A method for the selective removal of one or more layers from a power unit component, e.g., a turbine blade of a heavy-duty turbine, using high-energy radiation of such a wavelength that the supplied energy is so strongly absorbed by the layer to be removed in each case that the removal threshold of the specific material of the layer to be removed is exceeded, while this removal threshold is not reached in the subsurface to be preserved, a spectrometer connected to a control unit via a light-conducting fiber cable such that the method-specific characteristic values are determinable by spectroscopic methods during the removal of the respective layer and are used for the self-regulating process limitation.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: November 18, 2008
    Assignee: MTU Aero Engines GmbH
    Inventors: Erwin Bayer, Karsten Gold, Albin Platz, Matthias Schmidt
  • Patent number: 7445726
    Abstract: A photoresist trimming process is described. An etcher equipped with an etching chamber, a wafer holder, a TCP source and a TCP window is provided. After plasma is generated in the etching chamber, the etching chamber is heated without a wafer therein, and the temperature at the TCP window is monitored simultaneously. It is started, at any time after the temperature at the TCP window reaches a predetermined one, to treat wafers with photoresist layers to be trimmed thereon through the etching chamber.
    Type: Grant
    Filed: September 5, 2005
    Date of Patent: November 4, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Kevin C K Wang, Jiunn-Hsiung Liao
  • Patent number: 7442318
    Abstract: A method of manufacturing a thermal print head includes a conductor layer formation step, a first measurement step, a conductor layer splitting step and a second measurement step. In the conductor layer formation step, a single conductor layer including first and second measurement points is formed on a substrate. In the first measurement step, the electrical resistance is measured in the conductor layer, between the first and the second measurement points. In the conductor layer splitting step, a predetermined portion of the conductor layer is removed, so that a first electrode including the first measurement point and a second electrode including the second measurement point are formed. In the second measurement step, the resistance between the first and the second electrodes is measured. If the conductor layer has a disconnected portion in the first measurement step, a repairing conductor is formed on the disconnected portion.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: October 28, 2008
    Assignee: Rohm Co., Ltd.
    Inventors: Masaya Yamamoto, Shinobu Obata
  • Patent number: 7440859
    Abstract: Methods for determining characteristics of a plasma are provided. In one embodiment, a method for determining characteristics of a plasma includes obtaining metrics of a plasma at two different frequencies, and determining at least one characteristic of the plasma utilizing the metrics. In another embodiment, a method for determining characteristics of a plasma includes obtaining metrics of current and voltage information for first and second waveforms coupled to a plasma at different frequencies, determining at least one characteristic of the plasma using the metrics obtained from each different frequency waveform. In another embodiment, the method includes providing a plasma impedance model of a plasma as a function of frequency, and determining at least one characteristic of a plasma using model.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: October 21, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Daniel J. Hoffman, Jeremiah T. P. Pender, Tarreg Mawari