By Optical Means Or Of An Optical Property Patents (Class 216/60)
  • Patent number: 9129895
    Abstract: The disclosure provides a real-time wafer breakage detection method. The detection method includes the following operations. A wafer is positioned on a wafer holder of a process chamber in which a thermal process is being performed. Then, the temperature at the wafer holder is measured. And, a notification for corrective action is issued if the temperature is out of a predetermined alarm range.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: September 8, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Su-Hao Liu, Chien-Hung Lin, Wei-Han Huang, Zi-Wei Fang
  • Patent number: 9095931
    Abstract: A laser processed hole is formed in a workpiece. The workpiece has a first member formed of a first material bonded to a second member formed of a second material. The laser processed hole extends through the first member to the second member. The wavelength of plasma light generated by applying a pulsed laser beam to the first member and the second member is detected. Application of the laser beam is continued at a first power until the plasma light intensity generated from only the first member is decreased to reach a predetermined value. The laser beam is applied at a second power which is lower than the first power so as to not generate cracks in the first member when the plasma light intensity has reached the predetermined value. Application of the plasma laser beam stops when plasma light generated from the second member is detected.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: August 4, 2015
    Assignee: Disco Corporation
    Inventor: Hiroshi Morikazu
  • Patent number: 8961804
    Abstract: The present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at different regions of the photomask to obtain desired etch rate or thickness loss. In one embodiment, the method includes etching a first substrate through a patterned mask layer in a plasma etch chamber, the first substrate having a backside disposed on a substrate support and a front side facing away from the substrate support, directing a first radiation source from the backside of the first substrate to a first area covered by the patterned mask layer, directing a second radiation source from the backside of the first substrate to a second area uncovered by the patterned mask layer, collecting a first signal reflected from the first area covered by the patterned mask layer, collecting a second signal reflected from the second area uncovered by the patterned mask layer, and analyzing the combined first and the second signal.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: February 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Michael N. Grimbergen
  • Patent number: 8945411
    Abstract: The present invention is to achieve a reduction both in size of a plasma processing apparatus and an installation area thereof. A dry etching apparatus includes a stock unit that includes a cassette storing a tray that can be conveyed and that stores substrates. In a conveying unit storing a conveying apparatus of the tray, a rotary stage is provided. Rotational angular position adjustment of the tray is performed by rotating the rotary stage placed on the tray before being subjected to dry etching and detecting a notch by a notch detecting sensor.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: February 3, 2015
    Assignee: Panasonic Corporation
    Inventor: Tetsuhiro Iwai
  • Publication number: 20150021294
    Abstract: A plasma processing apparatus includes: a detector configured to detect a change in an intensity of light emission from plasma formed inside a processing chamber; and a unit configured to adjust conditions for forming the plasma or processing a wafer arranged inside the processing chamber using an output from the detector, wherein the detector detects a signal of the intensity of light emission at plural time instants before an arbitrary time instant during processing, and wherein the adjusting unit removes the component of a temporal change of a long cycle of the intensity of light emission from this detected signal and detects the component of a short temporal change of the intensity of light emission, and adjusts the conditions for forming the plasma or processing a wafer arranged inside the processing chamber based on the short temporal change of the detected intensity of light emission.
    Type: Application
    Filed: July 16, 2014
    Publication date: January 22, 2015
    Inventors: Masahito Togami, Tatehito Usui, Kosa Hirota, Satomi Inoue, Shigeru Nakamoto
  • Publication number: 20150011088
    Abstract: Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (Tgr) of the processing chamber for the step.
    Type: Application
    Filed: February 27, 2013
    Publication date: January 8, 2015
    Inventors: Mark Edward McNie, Michael Joseph Cooke, Leslie Michael Lea
  • Publication number: 20150004721
    Abstract: An OES measuring unit outputs a spectroscopically measured value for each step at the end of or immediately after each step. A CD estimating unit obtains an estimated CD value for each step using a CD estimation model and a spectroscopically measured value received from an estimation model storage unit.
    Type: Application
    Filed: January 30, 2013
    Publication date: January 1, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshikazu Akimoto, Hiroshi Kannan
  • Patent number: 8924001
    Abstract: Based on a model for determining optical emission intensity values Y at wavelengths from actuator values X of an etching apparatus, X is calculated from Y to achieve preferable Run-to-Run control over Y. A relation between X and Y is defined as a control model (matrix model C1, ratio-constraint model C2) based on an algebraical expression with ?X as an input and ?Y as an output. In etching process control, ?X (manipulated volume) is calculated from ?Y (controlled volume) using the control model, based on a non-control optical emission intensity value Y, to set X (S1) for the etching process, during which Y is monitored. Based on an actual value for Y, a non-control optical emission intensity value Y to be used in the next wafer etching process is calculated.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue, Akira Kagoshima
  • Publication number: 20140360977
    Abstract: A method for estimating a temperature of a substrate includes generating plasma in a plasma processing system. The substrate is arranged on a substrate support structure in the plasma processing system. The plasma generates electromagnetic radiation that is incident upon a first surface of the substrate. The method further includes arranging a detector adjacent to a second surface of the substrate and in-situ the plasma processing system and measuring a signal intensity of electromagnetic radiation passing through the second surface of the substrate at N frequencies. The method includes selecting each of the N frequencies at which the signal intensity is measured by the detector to correspond to a phonon-generating frequency of a material in the substrate. The method includes converting the signal intensity at the N frequencies to N absorbance values and estimating a temperature of the substrate based on the N absorbance values.
    Type: Application
    Filed: June 17, 2014
    Publication date: December 11, 2014
    Inventor: Enrico Magni
  • Patent number: 8900470
    Abstract: A method for etching a layer is provided. A substrate is provided in a chamber. An etch plasma for etching a layer on the substrate is generated. Light from a first region of the chamber is measured to provide a first signal. Light from a second region of the chamber is measured to provide a second signal. The first signal with the second signal are compared to determine an etch endpoint.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventor: Evelio Sevillano
  • Patent number: 8900469
    Abstract: A method and apparatus for etching a photomask substrate with enhanced process monitoring is provided. In one embodiment, a method of determining an etching endpoint includes performing an etching process on a first tantalum containing layer through a patterned mask layer, directing a radiation source having a first wavelength from about 200 nm and about 800 nm to an area uncovered by the patterned mask layer, collecting an optical signal reflected from the area covered by the patterned mask layer, analyzing a waveform obtained the reflected optical signal reflected from the substrate from a first time point to a second time point, and determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent from the first time point to the second time point.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: December 2, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Patent number: 8883024
    Abstract: The invention provide apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDƒ) data and associated (VUV/EEDƒ)-related procedures in (VUV/EEDƒ) etch systems. The (VUV/EEDƒ)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDƒ)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8883025
    Abstract: A plasma processing apparatus includes a stock unit, a processing unit, and an alignment chamber. The stock unit supplies and collects a conveyable tray formed with a plurality of housing holes in each of which a wafer is housed. In the processing chamber, plasma processing is executed on the wafers housed in the tray supplied from the stock unit. The alignment chamber is provided with a rotating table on which the tray before being subjected to the plasma processing is set to perform positioning of the wafers on the rotating table. A housing state determination unit of a control device determines whether or not the wafer is misaligned with respect the housing hole of the tray based on a height detected by height detecting sensors.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: November 11, 2014
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Yasuhiro Onishi
  • Patent number: 8877080
    Abstract: The invention provides an apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDf) data and associated (VUV/EEDf)-related procedures in (VUV/EEDf) etch systems. The (VUV/EEDf)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDf)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Publication number: 20140284307
    Abstract: A focused ion beam system includes a focused ion beam irradiation mechanism which irradiates a sample, on which a protective film is formed, with a focused ion beam from above the sample, a processing control unit which performs a removal process on both sides of a region to be a thin piece portion of the sample by the focused ion beam and sequentially forms observation surfaces parallel to an irradiation direction of the focused ion beam so as to achieve the thin piece portion, and an observation surface image generation unit which generates an observation surface image. The processing control unit terminates the removal process when a height of the protective film in the irradiation direction of the focused ion beam becomes a predetermined threshold value or less in the observation surface image.
    Type: Application
    Filed: March 21, 2014
    Publication date: September 25, 2014
    Applicant: HITACHI HIGH-TECH SCIENCE CORPORATION
    Inventors: Tatsuya ASAHATA, Shota TORIKAWA
  • Patent number: 8808559
    Abstract: A method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at different regions of the photomask to obtain desired etch rate or thickness loss is provided. In one embodiment, the method includes performing an etching process on a reflective multi-material layer that includes at least one molybdenum layer and one silicon layer through a patterned mask, directing radiation having a wavelength from about 170 nm and about 800 nm to an area of the multi-material layer uncovered by the patterned mask, collecting an optical signal reflected from the area uncovered by the patterned mask, analyzing a waveform obtained from the reflected optical signal, and determining a first endpoint of the etching process when an intensity of the reflected optical signal is between about 60 percent and about 90 percent less than an initial reflected optical signal.
    Type: Grant
    Filed: July 8, 2012
    Date of Patent: August 19, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Publication number: 20140197134
    Abstract: Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma.
    Type: Application
    Filed: March 18, 2014
    Publication date: July 17, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8778204
    Abstract: A method and apparatus for monitoring a target layer in a plasma process having a photoresist layer is provided. The method is useful in removing noise associated with the photoresist layer, and is particularly useful when signals associated with the target layer is weak, such as when detecting an endpoint for a photomask etching process.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 15, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Michael N. Grimbergen
  • Patent number: 8747686
    Abstract: Methods and substrate processing systems for analyzing an end point of a process are provided. By-products of the process are detected and monitored to determine the completion of various types of reaction processes within a substrate processing chamber. The methods provide real time process monitoring, thereby reducing the need to rigidly constrain other substrate processing parameters, increasing chamber cleaning efficiency, and/or increasing substrate processing throughput.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Zheng, Mei Chang, Arvind Sundarrajan
  • Patent number: 8741164
    Abstract: Methods for optimizing a plasma process are provided. The method may include obtaining a measurement spectrum from a plasma reaction in a chamber, calculating a normalized measurement standard and a normalized measurement spectrum of the measurement spectrum, comparing the normalized measurement spectrum with a normalized reference spectrum, and comparing the normalized measurement standard with a normalized reference standard to determine whether to change a process parameter of the plasma process or clean the chamber when the normalized measurement spectrum and the normalized reference spectrum are mismatched.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: June 3, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangwuk Park, Kye Hyun Baek, Kyoungsub Shin, Brad H. Lee
  • Patent number: 8721907
    Abstract: A system and a method for milling and inspecting an object. The method may include performing at least one iteration of a sequence that includes: milling, by a particle beam, a first surface of the object, during a first surface milling period; obtaining, by an electron detector, an image of a second surface of the object during at least a majority of the first surface milling period; wherein the object is expected to comprise an element of interest (EOI) that is positioned between the first and second surfaces; milling, by the particle beam, the second surface of the object during a second surface milling period; wherein each of the first surface milling period and the second surface milling period has a duration that exceeds a long duration threshold; obtaining by the electron detector an image of the first surface of the object during at least a majority of the second surface milling period.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: May 13, 2014
    Assignee: Camtek Ltd.
    Inventors: Dimitry Boguslavsky, Colin Smith
  • Patent number: 8709268
    Abstract: A method of etching the whole width of a substrate to expose buried features is disclosed. The method includes etching a face of a substrate across its width to achieve substantially uniform removal of material; illuminating the etched face during the etch process; applying edge detection techniques to light reflected or scattered from the face to detect the appearances of buried features; and modifying the etch in response to the detection of the buried feature. An etching apparatus for etching substrate across its width to expose buried is also disclosed.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: April 29, 2014
    Assignee: SPTS Technologies Limited
    Inventor: Oliver James Ansell
  • Patent number: 8685265
    Abstract: An etching apparatus includes a process unit and a control unit. Emission intensity of plasma inside the process unit is obtained by an OES detector, a nonlinear regression analysis is performed by an etching control device to determine a regression formula. The nonlinear regression analysis is performed by using the emission intensity of the plasma obtained until a first time when the emission intensity of the plasma passes a peak, and a second time to be an etching end point is calculated by using the regression formula. The etching end point is calculated as a time when the emission intensity decreases for a predetermined value from the first time. The etching apparatus finishes an etching when the process reaches the etching end point. It is thereby possible to control the etching end point with high-accuracy.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: April 1, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yoshiyuki Nakao, Kazuo Hashimi
  • Publication number: 20130256266
    Abstract: Methods and apparatuses for controlling plasma generation in a plasma processing chamber to reduce an effective residence time of by-product gases or to control in real time the concentration of certain polymer pre-cursors or reaction by-products in the plasma processing chamber are disclosed. The gas residence time is “effectively” reduced by reducing the plasma reaction for at least a portion of the process time. Thresholds can be provided to control when the plasma reaction is permitted to proceed at the full rate and when the plasma reaction is permitted to proceed at the reduced rate. By reducing the rate of plasma by-product generation at least for a portion of the process time, the by-product gas residence time may be effectively reduced to improve process results.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 3, 2013
    Inventor: Andreas Fischer
  • Patent number: 8518283
    Abstract: The present invention relates to a plasma etching method in which a special area for detecting an end point needs not to be set and an equipment therefor. At an etching step of forming SF6 gas into plasma to etch an etching ground on a Si film, the step is configured by two steps of: a large-amount supply step of supplying a large amount of SF6 gas; and a small-amount supply step of supplying a small amount of SF6 gas. An end-point detecting processor 34 measures an emission intensity of Si or SiFx in the plasma at the small-amount supply step, and determines that an etching end point is reached when the measured emission intensity becomes equal to or less than a previously set reference value.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: August 27, 2013
    Assignee: SPP Technologies Co., Ltd.
    Inventors: Takashi Yamamoto, Masahiko Tanaka, Yoshiyuki Nozawa, Shoichi Murakami
  • Publication number: 20130213933
    Abstract: Apparatus and methods are disclosed for employing an accelerated neutral beam derived from an accelerated gas cluster ion beam as a physical etching beam for providing reduced material mixing at the etched surface, compared to previous techniques. This results in the ability to achieve improved depth profile resolution in measurements by analytical instruments such as SIMS and XPS (or ESCA) analytical instruments.
    Type: Application
    Filed: August 21, 2012
    Publication date: August 22, 2013
    Applicant: Exogenesis Corporation
    Inventors: Sean R. Kirkpatrick, Allen R. Kirkpatrick
  • Patent number: 8512585
    Abstract: Methods for forming an imprint lithography template are provided. Materials for forming the imprint lithography template may be etched at different rates based on physical properties of the layers. Additionally, reflectance of the materials may be monitored to provide substantially uniform erosion of the materials.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: August 20, 2013
    Assignee: Molecular Imprints, Inc.
    Inventors: Gary F. Doyle, Gerard M. Schmid, Michael N. Miller, Douglas J. Resnick, Dwayne L. LaBrake
  • Publication number: 20130180953
    Abstract: The present invention is to achieve a reduction both in size of a plasma processing apparatus and an installation area thereof. A dry etching apparatus includes a stock unit that includes a cassette storing a tray that can be conveyed and that stores substrates. In a conveying unit storing a conveying apparatus of the tray, a rotary stage is provided. Rotational angular position adjustment of the tray is performed by rotating the rotary stage placed on the tray before being subjected to dry etching and detecting a notch by a notch detecting sensor.
    Type: Application
    Filed: March 26, 2012
    Publication date: July 18, 2013
    Inventor: Tetsuhiro Iwai
  • Patent number: 8486290
    Abstract: There is provided an etching apparatus in which, without setting the information of the substance and the chemical reaction, a small number of representative wavelengths can be selected from a waveform at a lot of wavelengths, and an analysis process of etching data which needs large man-hours can be eliminated to efficiently set the monitoring of the etching.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8441640
    Abstract: A substrate processing system includes an optical measurement assembly coupled to an exterior of a processing chamber that has a portion that is transparent. The processing chamber includes a reference object and a pedestal for supporting a work piece. The optical measurement assembly measures a lateral location, a height and a tilt of the pedestal by transmitting light into the processing chamber through the transparent portion of the processing chamber and detecting a reflected light from both the reference object and the portion of the pedestal after the reflected light leaves the chamber through the transparent portion of the processing chamber. A method of adjusting a pedestal includes analyzing the reflected light and leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal in response to the analyzed reflected light.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: May 14, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kailash Kiran Patalay, Richard O. Collins, Jean R. Vatus, Zhepeng Cong
  • Patent number: 8366953
    Abstract: A plasma cleaning method is performed in a plasma CVD apparatus for depositing a silicon nitride film on a surface of a target substrate, and includes a stage (S1) of supplying a cleaning gas containing NF3 gas into a process container, thereby removing extraneous deposits formed on portions inside the process container; a stage (S2) of supplying a gas containing hydrogen gas into the process container and generating plasma thereof, thereby removing residual fluorine inside the process container; and a stage (S3) of supplying a gas containing a rare gas into the process container and generating plasma thereof, thereby removing residual hydrogen inside the process container.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: February 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Kohno, Tatsuo Nishita, Toshio Nakanishi
  • Patent number: 8304264
    Abstract: A chamber-status monitoring apparatus includes a plurality of chambers, a time-division multiplexer configured to receive, via optical fiber probes, optical signals from each chamber, to divide each optical signal into first time slots having a predetermined duration, and to multiplex the first time slots to generate an OTDM signal, a multi-input optical emission spectroscope configured to receive and disperse the OTDM signal according to wavelengths to measure spectrum information, and a controller configured to divide the spectrum information of the dispersed OTDM signal into second time slots with a predetermined time interval therebetween, to classify the second time slots according to the chambers to obtain spectrum information of the optical signals of the individual chambers, and to control endpoint detection in each of the chambers in accordance with the spectrum information of the optical signal of the corresponding chamber.
    Type: Grant
    Filed: August 18, 2010
    Date of Patent: November 6, 2012
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Sang-Wuk Park, Woo-Seok Kim, Yong-Jin Kim
  • Patent number: 8298432
    Abstract: A method and system of location specific processing on a substrate is described. The method comprises establishing a gas cluster ion beam (GCIB) according to a set of beam properties and measuring metrology data for a substrate. Thereafter, the method comprises determining at least one spatial gradient of the metrology data at one or more locations on the substrate and adjusting at least one beam property in the set of beam properties for the GCIB according to the determined at least one spatial gradient. Using the metrology data and the adjusted set of beam properties, correction data for the substrate is computed. Following the computing, the adjusted GCIB is applied to the substrate according to the correction data.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 30, 2012
    Assignee: TEL Epion Inc.
    Inventors: Ruairidh MacCrimmon, Nicolaus J. Hofmeester, Steven P. Caliendo
  • Patent number: 8293126
    Abstract: A method and system of location specific processing on a substrate is described. The method comprises acquiring metrology data for a substrate, and computing correction data for adjusting a first region of the metrology data on the substrate. Thereafter, a first gas cluster ion beam (GCIB) for treating the high gradient regions is established, and the first GCIB is applied to the substrate according to the correction data. The method further comprises optionally acquiring second metrology data following the applying of the first GCIB, and computing second correction data for adjusting a second region of the metrology data, or the second metrology data, or both on the substrate. Thereafter, a second gas cluster ion beam (GCIB) for treating the second region is established, and the second GCIB is applied to the substrate according to the second correction data.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: October 23, 2012
    Assignee: TEL Epion Inc.
    Inventors: Ruairidh MacCrimmon, Nicolaus J. Hofmeester, Steven P. Caliendo
  • Patent number: 8273260
    Abstract: A method of etching a semiconductor wafer is provided. The method comprises the steps of: jetting a mixed gas including hydrogen fluoride and ozone onto a surface of a semiconductor wafer; monitoring the surface of the semiconductor wafer; analyzing the surface of the semiconductor wafer; and adjusting at least one of the hydrogen fluoride concentration and the ozone concentration in the mixed gas based on a result of the analysis.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: September 25, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Kazuaki Kozasa, Tomonori Kawasaki
  • Patent number: 8268181
    Abstract: A plasma ashing apparatus for removing organic matter from a substrate including a low k dielectric, comprising a first gas source; a plasma generating component in fluid communication with the first gas source; a process chamber in fluid communication with the plasma generating component; an exhaust conduit in fluid communication with the process chamber; wherein the exhaust conduit comprises an inlet for a second gas source and an afterburner assembly coupled to the exhaust conduit, wherein the inlet is disposed intermediate to the process chamber and an afterburner assembly, and wherein the afterburner assembly comprises means for generating a plasma within the exhaust conduit with or without introduction of a gas from the second gas source; and an optical emission spectroscopy device coupled to the exhaust conduit comprising collection optics focused within a plasma discharge region of the afterburner assembly.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: September 18, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventors: Aseem Kumar Srivastava, Palanikumaran Sakthivel, Thomas James Buckley
  • Patent number: 8232212
    Abstract: An apparatus for adaptive self-aligned dual patterning and method thereof. The method includes providing a substrate to a processing platform configured to perform an etch process and a deposition process and a metrology unit configured for in-vacuo critical dimension (CD) measurement. The in-vacuo CD measurement is utilized for feedforward adaptive control of the process sequence processing platform or for feedback and feedforward adaptive control of chamber process parameters. In one aspect, a first layer of a multi-layered masking stack is etched to form a template mask, an in-vacuo CD measurement of the template mask is made, and a spacer is formed, adjacent to the template mask, to a width that is dependent on the CD measurement of the template mask.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: July 31, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew F. Davis, Thorsten B. Lill, Lei Lian
  • Publication number: 20120132617
    Abstract: A plasma etching apparatus includes a processing container, a depressurization unit, a placement unit, a discharge tube, an introduction waveguide tube, a gas supply unit, a transport tube, a detection window, a coherent light detection unit, and a control unit. The control unit is configured to detect an end point of etching based on an output from the coherent light detection unit. The control unit is configured to use an output from the light receiving devices of a detection region of the coherent light detection unit to extract an output of the light receiving device of a portion of the detection region corresponding to an etching portion to detect the end point of the etching based on an intensity of the coherent light determined from the output of the light receiving device of the portion of the detection region corresponding to the etching portion.
    Type: Application
    Filed: August 5, 2010
    Publication date: May 31, 2012
    Applicant: SHIBAURA MECHATRONICS CORPORATION
    Inventor: Daisuke MATSUSHIMA
  • Patent number: 8182708
    Abstract: The present invention is to provide a method by which the waviness generated in a glass substrate surface during pre-polishing are removed and the glass substrate is finished so as to have a highly flat surface. The present invention relates a method of finishing a pre-polished glass substrate surface, the glass substrate being made of quartz glass containing a dopant and comprising SiO2 as a main component, the finishing method comprising: measuring a concentration distribution of the dopant contained in the glass substrate; and measuring a surface shape of the glass substrate in the pre-polished state, wherein conditions for processing the glass substrate surface are set for each part of the glass substrate based on the measurement results of the concentration distribution of the dopant and the surface shape of the glass substrate.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: May 22, 2012
    Assignee: Asahi Glass Company, Limited
    Inventor: Koji Otsuka
  • Publication number: 20120103938
    Abstract: A system and a method for milling and inspecting an object. The method may include performing at least one iteration of a sequence that includes: milling, by a particle beam, a first surface of the object, during a first surface milling period; obtaining, by an electron detector, an image of a second surface of the object during at least a majority of the first surface milling period; wherein the object is expected to comprise an element of interest (EOI) that is positioned between the first and second surfaces; milling, by the particle beam, the second surface of the object during a second surface milling period; wherein each of the first surface milling period and the second surface milling period has a duration that exceeds a long duration threshold; obtaining by the electron detector an image of the first surface of the object during at least a majority of the second surface milling period.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 3, 2012
    Applicant: CAMTEK LTD.
    Inventors: Dimitry Boguslavsky, Colin Smith
  • Patent number: 8158526
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: April 17, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Patent number: 8158015
    Abstract: The present disclosure provides a mask and a method of determining etching times for etching the mask. In one embodiment, values for a main etching time and an over-etching time are determined simultaneously based on a desired critical dimension (CD) parameter and a desired phase parameter for the mask.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: April 17, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Ming Lin, Joy Huang
  • Patent number: 8158017
    Abstract: A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 17, 2012
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 8153085
    Abstract: Provided are a microfluidic chip and a method of fabricating the same. The microfluidic chip includes: a lower substrate; an upper substrate formed of a silicone resin, wherein the lower substrate and the upper substrate, bonded together, provide a channel through which a fluid can flow and a chamber to receive the fluid; and an organic thin film formed on the upper surface of the lower substrate except for portions on which the lower substrate and the upper substrate are attached to each other.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: April 10, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-youn Hwang, Joon-ho Kim, Chin-sung Park, Sung-young Jeong
  • Patent number: 8105499
    Abstract: A mask fixture for etching an item includes: a top fixture disposed over the item, including a reservoir centered within the top fixture for containing an etchant; a bottom fixture underneath the item to be etched including a recessed surface area centered within the bottom fixture; and an etch-resistant window for holding the item to be etched, the etch-resistant window disposed entirely within the recessed surface area. In addition, a small via centered within and intersecting both the top and bottom fixtures acts as a path for a high intensity light beam.
    Type: Grant
    Filed: July 14, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Macines Corporation
    Inventor: Arthur Wood Ellis
  • Patent number: 8092695
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: January 10, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Publication number: 20110315661
    Abstract: There is provided an etching apparatus in which, without setting the information of the substance and the chemical reaction, a small number of representative wavelengths can be selected from a waveform at a lot of wavelengths, and an analysis process of etching data which needs large man-hours can be eliminated to efficiently set the monitoring of the etching.
    Type: Application
    Filed: November 20, 2009
    Publication date: December 29, 2011
    Inventors: Toshihiro Morisawa, Daisuke Shiraishi, Satomi Inoue
  • Patent number: 8083960
    Abstract: A microscopic change in a luminous intensity occurring near an etching endpoint is accurately detected, whereby the endpoint of etching is quickly determined. An etching endpoint determination method for determining an endpoint of etching processing in a plasma etching apparatus that introduces a processing gas into a vacuum chamber, produces plasma by feeding high-frequency energy to a introduced processing gas, and uses the produced plasma to perform plasma processing on a workpiece stored in the chamber includes: a step of sampling light of a pre-set wavelength from light emitted by the plasma produced in the vacuum chamber, acquiring as time-sequential data the luminous intensity of the sampled light of the specific wavelength, and computing a regression line on the basis of the acquired time-sequential data; and a step of computing distances in a time-base direction between the regression line and the time-sequential data which are obtained at the first step.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: December 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroshige Uchida, Daisuke Shiraishi, Shoji Ikuhara, Akira Kagoshima
  • Publication number: 20110292546
    Abstract: A patterned recording media is formed from a master template that includes a data area and a timing track area having a final timing track. In order to form the final timing track, a first timing track is etched into master template and tested for accuracy by comparing the angular position of the master template to the timing track. If errors are detected in the timing track, the errors are used to create additional timing tracks which are etched into the master template. This process of improving the timing track is repeated until a final timing track is formed that has errors below a predetermined level. The timing tracks formed prior to the final timing track are removed and the master template is used to make stampers which are used to make patterned media disks.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Applicant: Seagate Technology LLC
    Inventors: Dave Marcus Tung, Sundeep Chauhan, David Kuo, Shih-Fu Lee