By Optical Means Or Of An Optical Property Patents (Class 216/60)
  • Patent number: 8052886
    Abstract: A fluorine-containing compound gas, e.g., SF6 gas, is converted into a plasma and a silicon portion of an object to be processed is etched by the plasma. At the same time, using a light source having a peak intensity of light in a wavelength range of light absorption of a reaction product, e.g., SiF4, for which, to be more precise, ranges from 9 ?m to 10 ?m, the light is irradiated onto a surface of an object to be processed from the light source. The SiF4 molecules absorb the light, become activated and gain kinetic energy to be used in gaining an easy escape from a hole. As a consequence, an amount (a partial pressure) of fluorine radicals (F*) used as an etchant is increased and an etching rate of a silicon is increased.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Koji Maruyama
  • Patent number: 8048326
    Abstract: The present invention presents a plasma processing system for etching a layer on a substrate comprising a process chamber, a diagnostic system coupled to the process chamber and configured to measure at least one endpoint signal, and a controller coupled to the diagnostic system and configured to determine in-situ at least one of an etch rate and an etch rate uniformity of the etching from the endpoint signal. Furthermore, an in-situ method of determining an etch property for etching a layer on a substrate in a plasma processing system is presented comprising the steps: providing a thickness of the layer; etching the layer on the substrate; measuring at least one endpoint signal using a diagnostic system coupled to the plasma processing system, wherein the endpoint signal comprises an endpoint transition; and determining the etch rate from a ratio of the thickness to a difference between a time during the endpoint transition and a starting time of the etching.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Hongyu Yue, Hieu A. Lam
  • Publication number: 20110253671
    Abstract: An endpoint detection method for detecting an endpoint of a process comprises determining a reflectance spectrum of light reflected from a substrate, the light having a wavelength, processing the substrate while light having the wavelength is reflected from the substrate, detecting light having the wavelength after the light is reflected from the substrate, generating a signal trace of the intensity of the reflected light and normalizing the signal trace with the reflectance spectrum of the light. The normalized signal trace can then be evaluated to determine an endpoint of the process.
    Type: Application
    Filed: June 28, 2011
    Publication date: October 20, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Lei LIAN, Matthew F. DAVIS
  • Patent number: 8038896
    Abstract: Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: October 18, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Eiji Ikegami, Shoji Ikuhara, Takeshi Shimada, Kenichi Kuwabara, Takao Arase, Tsuyoshi Matsumoto
  • Patent number: 8038895
    Abstract: A method for detection of mechanical defects in a semiconductor ingot section which has at least one planar surface, and a thickness at right angles to this surface of 1 cm to 100 cm, involves scanning the planar surface by at least one ultrasound head which is coupled via a liquid coupling medium to the planar surface and, at each measurement point (x,y) producing at least one ultrasound pulse which is directed at the planar surface of the ingot section, recording the ultrasound-pulse echo as a function of time, such that an echo from the planar surface, an echo from a surface opposite the planar surface, and further echoes are detected, with the positions (xp, yp, zp) of mechanical defects in the ingot section being determined from the further echoes.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: October 18, 2011
    Assignee: Siltronic AG
    Inventors: Ludwig Koester, Peter Czurratis, Klaus Kraemer
  • Patent number: 8021561
    Abstract: The optical device includes a plurality of waveguides and an optical grating. A first portion of the waveguides act as input waveguide configured to carry a light beam that includes multiple light signals to the optical grating. The optical grating is configured to demultiplex the light signals. A second portion of the waveguides act as output waveguides configured to carry the demultiplexed light signals away from the optical grating. A method of forming the optical device includes sequentially forming the waveguides and the optical grating while a single mask defines the location of the waveguides and the optical grating.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: September 20, 2011
    Assignee: Kotura, Inc.
    Inventors: Wei Qian, Joan Fong, Dazeng Feng
  • Patent number: 8021563
    Abstract: A method for determining the depth etch, a method of forming a shielded gate trench (SGT) structure and a semiconductor device wafer are disclosed. A material layer is formed over part of a substrate having a trench. The material fills the trench. A resist mask is placed over a test portion of the layer of material. The resist mask does not cover the trench. The layer of material is isotropically etched. An etch depth may be determined from a characteristic of etching of the material underneath the mask. Such a method may be used for forming SGT structures. The wafer may comprise a layer of material disposed on at least a portion of a surface of semiconductor wafer; a resist mask comprising an angle-shaped test portion disposed over a portion of the layer of material; and a ruler marking on the surface of the substrate proximate the test portion.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: September 20, 2011
    Assignee: Alpha & Omega Semiconductor, Ltd
    Inventors: Yingying Lou, Tiesheng Li, Yu Wang, Anup Bhalla
  • Patent number: 8017029
    Abstract: A plasma etch method includes simultaneously illuminating an array of plural locations on front surface of the workpiece through the backside of the workpiece with light of a wavelength range for which the workpiece is transparent, while viewing light reflected from the array of plural locations to the backside of the workpiece. The method further includes determining plural etch depths at the array of locations from the light reflected from the array of locations on the front side of the workpiece, and deducing from the plural etch depths a spatial distribution of etch rate across the array of locations. The method also includes changing the etch rate distribution by adjusting a tunable element of the reactor.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: September 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Michael N. Grimbergen, Khiem K. Nguyen, Richard Lewington, Ibrahim M. Ibrahim, Sheeba J. Panayil, Ajay Kumar
  • Patent number: 8012366
    Abstract: A method is provided for defining a pattern on a workpiece such as a transparent substrate or mask or a workpiece that is at least transparent within a range of optical wavelengths. The method includes defining a photoresist pattern on the top surface of the mask, the pattern including a periodic structure having a periodic spacing between elements of the structure. The method further includes placing the mask on a support pedestal in a plasma reactor chamber and generating a plasma in the chamber to etch the top surface of the mask through openings in the photoresist pattern. The method also includes transmitting light through the pedestal and through the bottom surface of the mask, while viewing through the support pedestal light reflected from the periodic structure and detecting an interference pattern in the reflected light. The method further includes determining from the interference pattern a depth to which periodic structure has been etched in the top surface.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: September 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Richard Lewington, Michael N. Grimbergen, Khiem K. Nguyen, Darin Bivens, Madhavi R. Chandrachood, Ajay Kumar
  • Patent number: 8014891
    Abstract: An etching amount calculating method that can stably and accurately calculate the amount of etching even if a disturbance is added. Superposed interference light resulting from superposition of interference light of reflected light from a mask film and reflected light from the bottom of a concave portion on other interference light is received. A waveform in a predetermined time period is extracted from a superposed interference wave calculated from the superposed interference light. The period of an interference wave of the reflected light from the mask film and the reflected light from the bottom is detected from the distribution of frequencies of the extracted waveform. The steps described above are repeated while shifting the predetermined time period by a predetermined time, and the detected periods are integrated and averaged at each repetition. The etching amount of the concave portion is calculated based on the integrated and averaged periods.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Susumu Saito
  • Patent number: 8009938
    Abstract: Embodiments described herein provide a method and apparatus for obtaining process information in a substrate manufacturing process using plasma. In one embodiment, a chamber is provided having one or more optical metrology modules that are positioned such that optical energy from the plasma process is detected at substantially orthogonal angles. Metrics derived from detected optical energy may be used for endpoint determination, substrate temperature, and monitoring of critical dimensions on the substrate.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: August 30, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Fenton Davis, Lei Lian
  • Patent number: 7935218
    Abstract: An optical apparatus includes an illumination system configured to form a pulsed radiation beam, an optical element with a surface on which the radiation beam is incident in operation, and a gas source arranged to supply a mixture of a first type of gas and a second type of gas to a space adjacent the surface. Particles of the first and second types of gas are capable of reacting with the surface, when activated by the radiation beam. The gas source is configured to generate a combination of surface occupation numbers of molecules of the first and second types of gas on the surface under operating conditions, at least prior to pulses of the radiation beam, the combination of surface occupation numbers lying in a range in which reactions of particles with the surface during pulses of the radiation beam are in majority reversed.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: May 3, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Hubertus Josephina Moors, Vadim Yevgenyevich Banine, Bastiaan Theodoor Wolschrijn, Carolus Ida Maria Antonius Spee, Rik Jansen
  • Patent number: 7910013
    Abstract: For each one of plural plasma parameters, such as ion density, wafer voltage, etch rate, wafer current, a relevant surface of constant value is fetched from a memory. The relevant surface of constant value corresponds to a user-selected value of one of the plasma parameters, the surface being defined in a space of which each one of plural, chamber parameters (e.g., source power, bias power and chamber pressure) is a dimension. An intersection of these relevant surfaces is found, the intersection corresponding to a target value of source power, bias power and chamber pressure. The source power, the bias power and the chamber pressure, respectively, are set to their corresponding target values.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Ezra Robert Gold
  • Patent number: 7892980
    Abstract: The present invention provides apparatus for controlling the operation of plasma etching a semiconductor substrate by an alternating etching method, the apparatus comprising: a process chamber (1) in which said substrate (2) is processed, means for generating a plasma (6); at least one first window (7) formed in a first wall (8) of said chamber (1) facing the surface (2a) to be etched of said substrate (2); at least one second window (10) formed in a second wall (11) of said chamber (1) lying in a plane different from said first wall (8); first means (18) coupled to said second window (10) to detect a light signal (17) relating to a selected wavelength emitted by said plasma (6); means (13, 15) for emitting a monochromatic light signal (14) through said first window (7) towards said surface (2a) in a direction (9) substantially perpendicular to said surface (2a) in such a manner that said incident signal (14a) is reflected on said surface (2a); second means (16) for detecting said reflected signal (14b); a
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: February 22, 2011
    Inventors: Michel Puech, Nicolas Launay
  • Patent number: 7892442
    Abstract: A method of manufacturing a thin-film magnetic head works a part to be worked to a target length by carrying out an etching process on an object to be worked using an etching apparatus.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: February 22, 2011
    Assignee: TDK Corporation
    Inventors: Hiroo Sawada, Jun Shouji, Mitsuhiro Kitao, Eiji Yamada
  • Publication number: 20110031215
    Abstract: An inspection method comprises focusing a particle beam onto a sample; operating at least one detector located close to the sample; assigning detection signals generated by the at least one detector to different intensity intervals; determining, based on the detection signals assigned to the intensity intervals, at least one first signal component related to electrons incident on the detector; and determining, based on the detection signals assigned to the intensity intervals, at least one second signal component related to X-rays incident on the detector.
    Type: Application
    Filed: August 5, 2010
    Publication date: February 10, 2011
    Inventors: Hubert Mantz, Rainer Arnold, Michael Albiez
  • Patent number: 7883629
    Abstract: During the patterning of stressed layers having different types of intrinsic stress, the effects of the deposition of a silicon dioxide based etch indicator material between the first and second dielectric layers may be significantly reduced by a controlled etch on the basis of optical measurement data indicating the etch rate and, thus, the performance of the respective etch process. In other cases, highly efficient etch indicator species may be incorporated into the stressed dielectric layers or may be formed on a surface portion thereof with reduced layer thickness, thereby providing an enhanced endpoint detection signal without creating the negative effects of silicon dioxide based indicator layers. In one illustrative embodiment, a stressed silicon, nitrogen and carbon-containing layer may be combined with a stressed silicon and nitrogen-containing layer, wherein the carbon species provides a prominent endpoint detection signal.
    Type: Grant
    Filed: October 8, 2007
    Date of Patent: February 8, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Matthias Schaller, Heike Salz, Ralf Richter, Sylvio Mattick
  • Patent number: 7883630
    Abstract: Apparatus and processes are disclosed for milling copper adjacent to organic low-k dielectric on a substrate by directing a charged-particle beam at a portion of the copper and exposing the copper to a precursor sufficient to enhance removal of the copper relative to removal of the dielectric, wherein the precursor contains an oxidizing agent, has a high sticking coefficient and a long residence time on the copper, contains atoms of at least one of carbon and silicon in amount sufficient to stop oxidation of the dielectric, and contains no atoms of chlorine, bromine or iodine. In one embodiment, the precursor comprises at least one of the group consisting of NitroEthanol, NitroEthane, NitroPropane, NitroMethane, compounds based on silazane such as HexaMethylCycloTriSilazane, and compounds based on siloxane such as Octa-Methyl-Cyclo-Tetra-Siloxane. Products of the processes are also disclosed.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: February 8, 2011
    Assignee: DCG Systems, Inc.
    Inventors: Vladimir V. Makarov, Theodore R. Lundquist
  • Patent number: 7884024
    Abstract: An apparatus and method for processing an integrated circuit employing optical interference fringes. During processing, one or more wavelength lights are directed on the integrated circuit and based upon the detection of interference fringes and characteristics of the same, further processing may be controlled. One implementation involves charged particle beam processing of an integrated circuit as function of detection and/or characteristics of interference fringes. A charged particle beam trench milling operation is performed in or on the substrate of an integrated circuit. Light is directed on the floor of the trench. Interference fringes may be formed from the constructive or destructive interference between the light reflected from the floor and the light from the circuit structures. Resulting fringes will be a function, in part, of the thickness and/or profile of the trench floor. Milling may be controlled as a function of the detected fringe patterns.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: February 8, 2011
    Assignee: DCG Systems, Inc.
    Inventors: Erwan Le Roy, Chun-Cheng Tsao, Theodore R. Lundquist, Rajesh Kumar Jain
  • Patent number: 7879732
    Abstract: A method for etching a thin film and fabricating a semiconductor device includes etching the thin film on a substrate, while monitoring the removal of an endpoint detection layer remotely located from the substrate, such that precise control of the thin film etching is provided by monitoring the removal of the endpoint detection layer. The endpoint detection layer is formed on a surface of an etching apparatus that is exposed to the same etching conditions as the thin film to be etched. The etching of the thin film is stopped when a predetermined amount of the endpoint detection layer has removed from the surface of the etching apparatus.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: February 1, 2011
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Xiang Hu, Hai Cong, Pradeep Yelehanka, Mei Sheng Zhou
  • Patent number: 7875198
    Abstract: A method of deriving etching correction values for the patterns of a photomask and a method of fabricating a photomask are described. The former method includes the following steps. The layout data of the photomask are provided, and local etching correction values of respective patterns are determined from the pattern configurations at respective areas of the photomask. A global etching correction value is determined from a wafer coverage ratio calculated mainly from the layout data. The local etching correction values of the respective patterns are added with the global etching correction value to obtain total etching correction values of the respective patterns. In the method of fabricating a photomask, the layout data are subjected to an etching correction based on the total etching correction values of the respective patterns and then to an optical proximity correction, and the photomask patterns are formed based on the resulting layout data.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: January 25, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chao-Lung Lo, Sunwook Jung
  • Patent number: 7862736
    Abstract: Method of cleaning a plasma etching apparatus capable of suppressing variation in line width among wafers in a single lot, and improving throughput in the cleaning process, includes steps of supplying a cleaning gas into a chamber of a plasma etching apparatus; igniting a plasma of the cleaning gas in the chamber; and allowing plasma cleaning to proceed in the chamber, by bringing the cleaning gas in plasma form into contact with a deposit adhered on the inner wall of the chamber so as to etch off the deposit, wherein in the step of plasma cleaning in the chamber, intensity of plasma emission ascribable to the deposit adhered on the inner wall of the chamber is detected in a time-dependent manner, and the plasma cleaning in the chamber is terminated based on changes in the intensity of the plasma emission.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: January 4, 2011
    Assignee: NEC Electronics Corporation
    Inventor: Tomoo Nakayama
  • Publication number: 20100314354
    Abstract: Methods and apparatus may operate to position a sample within a processing chamber and operate on a surface of the sample. Further activities may include creating a layer of reactive material in proximity with the surface, and exciting a portion of the layer of reactive material in proximity with the surface to form chemical radicals. Additional activities may include removing a portion of the material in proximity to the excited portion of the surface to a predetermined level, and continuing the creating, exciting and removing actions until at least one of a plurality of stop criteria occurs.
    Type: Application
    Filed: August 23, 2010
    Publication date: December 16, 2010
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Patent number: 7833388
    Abstract: A method for manufacturing a magnetic layer with a magnetic anisotropy. The method includes an endpoint detection process for determining an end point to carefully control the final thickness of the magnetic layer. The method includes depositing a magnetic layer and then depositing a sacrificial layer over the magnetic layer. A low power angled ion milling is then performed until the magnetic layer has been reached. The angled ion milling can be performed at an angle relative to normal and without rotation in order to form an anisotropic surface texture that induces a magnetic anisotropy in the magnetic layer. An indicator layer may be included between the magnetic layer and the sacrificial layer in order to further improve endpoint detection.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: November 16, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Matthew Joseph Carey, Jeffrey Robinson Childress, Stefan Maat
  • Patent number: 7814796
    Abstract: Provided is a partial pressure measuring method and a partial pressure measuring apparatus by which a partial pressure distribution is easily measured in a vacuum chamber. The partial pressure measuring method and the partial pressure measuring apparatus includes: moving a local plasma source dedicated to partial pressure measuring provided in the vacuum chamber, to a location at which the measuring is to be performed; and measuring a partial pressure distribution in the vacuum chamber, by receiving emission of plasma generated by the local plasma source through a window which is formed in a wall part of the vacuum chamber and through which the emission passes, and thereby performing emission spectral analysis on intensity of the emission.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: October 19, 2010
    Assignee: Panasonic Corporation
    Inventors: Masahiro Yamamoto, Takeshi Koiwasaki, Hitoshi Yamanishi, Isao Muragishi, Mitsuhiro Yoshinaga
  • Patent number: 7803280
    Abstract: The invention provides a method in which waviness generated on a glass substrate surface during pre-polishing is removed, thereby finishing the glass substrate to have a surface excellent in flatness. The method for finishing a pre-polished glass substrate uses ion beam etching, gas cluster ion beam etching or plasma etching, the method including: a step of measuring flatness of the glass substrate surface using a shape measurement unit, and a step of measuring a concentration distribution of the dopant contained in the glass substrate. Processing conditions of the glass substrate surface are set up for each site of the glass substrate based on the results obtained from the step of measuring flatness and the step of measuring a concentration distribution of the dopant. Finishing includes keeping an angle formed by a normal line of the glass substrate and an incident beam onto the glass substrate at from 30° to 89°.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: September 28, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Koji Otsuka, Kenji Okamura
  • Patent number: 7799692
    Abstract: Treatment of a semiconductor wafer employs: a) position-dependent measuring of a parameter characterizing the semiconductor wafer to determine a position-dependent value of the parameter over an entire surface of the semiconductor wafer, b) oxidizing the entire surface of the semiconductor wafer under the action of an oxidizing agent with simultaneous exposure of the entire surface, the oxidation rate and thus the thickness of the resulting oxide layer dependent on the light intensity at the surface of the semiconductor wafer, and c) removing of the oxide layer, the light intensity in step b) predefined in a position-dependent manner such that differences in the position-dependent values of the parameter measured are reduced by the position-dependent oxidation rate resulting in step b) and subsequent removal of the oxide layer in step c).
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: September 21, 2010
    Assignee: Siltronic AG
    Inventors: Brian Murphy, Diego Feijóo, Reinhold Wahlich
  • Publication number: 20100230386
    Abstract: A processing gas fed from a gas feed pipe (8) through a gas introducing port (9) flows first into an outer annular gas flow channel (20a), where it is circumferentially diffused, and then into an inner annular gas flow channel (20b) via a passageway (23), and from this inner annular gas flow channel (20b) it flows into a gas diffusion gap (7) in the back surface of a shower head (6) via a gas feed hole 25. Thereafter, the processing gas is diffused in the gas diffusion gap (7) and delivered from gas delivery holes (5) to a semiconductor wafer (W). This makes it possible to improve the uniformity of in-plane process, as compared with the prior art, and to make a uniform process.
    Type: Application
    Filed: April 5, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuichi HAYASHI, Kouichi Yatsuda, Masafumi Urakawa
  • Patent number: 7776748
    Abstract: Calibration wafers and methods for calibrating a plasma process performed in a plasma processing apparatus, such as an ionized physical vapor deposition apparatus. The calibration wafer includes one or more selective-redeposition structures for calibrating a plasma process. The selective-redeposition structures receive a controllable and/or measurable amount of redeposited material during the plasma process.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: August 17, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Jozef Brcka, Rodney L. Robison, Takashi Horiuchi
  • Patent number: 7771603
    Abstract: A process for polishing a glass substrate, which enables to polish a glass substrate having a large waviness formed by mechanical polishing, to have a surface excellent in flatness, is provided. A process for polishing a glass substrate, comprising a step of measuring the surface profile of a mechanically polished glass substrate to identify the width of waviness present in the glass substrate, and a step of applying dry etching using a beam having a beam size in FWHM (full width of half maximum) value of at most the above size of waviness, to polish the surface of the glass substrate.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: August 10, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Koji Otsuka, Masabumi Ito, Hiroshi Kojima
  • Patent number: 7744770
    Abstract: A device transfer method is provided. The device transfer method is disclosed by which, when a laser ablation technique is used to selectively exfoliate devices arranged on a substrate, the energy is transmitted efficiently to transfer the devices with a high degree of accuracy and at a high speed. A laser irradiation apparatus is used which includes a laser light source for generating a laser beam, a reflection section for reflecting the laser beam toward a required direction, and a control section for controlling whether or not the laser beam is to be irradiated in an interlocking relationship with the reflection section. The laser beam is selectively irradiated on a plurality of devices arranged on a transfer source substrate to cause laser ablation such that the selected devices are transferred to a transfer destination substrate by the selective laser ablation.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: June 29, 2010
    Assignee: Sony Corporation
    Inventors: Masato Doi, Toyoharu Oohata
  • Patent number: 7740903
    Abstract: A method for manufacturing magnetic recording media is provided, by which a magnetic recording medium that has a recording layer formed in a concavo-convex pattern, a sufficiently flat surface, and good recording/reproducing properties can be manufactured. The method includes the steps of: depositing a first filling material over a workpiece to cover recording elements formed as convex portions of the concavo-convex pattern, and to fill at least part of a concave portion; depositing a detection material over the first filling material; depositing a second filling material over the detection material; and irradiating a surface of the workpiece with a process gas to flatten the surface. In the flattening step, a component of the detection material removed from and flying off the workpiece is detected to stop the irradiation with the process gas based on a result of detecting the component of the detection material.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: June 22, 2010
    Assignee: TDK Corporation
    Inventors: Takahiro Suwa, Kazuhiro Hattori, Shuichi Okawa
  • Publication number: 20100133232
    Abstract: An endpoint detection system for detecting an endpoint of a process comprises a polychromatic light source which emits polychromatic light. The light is reflected from a substrate. A light wavelength selector receives the reflected polychromatic light and determines a wavelength of light at which a local intensity of the reflected light is maximized during the process. In one version, the wavelength selector comprises a diffraction grating to generate a plurality of light beams having different wavelengths from the reflected polychromatic light and a light detector to receive the light beams having different wavelengths and generate an intensity signal trace of the intensity of each wavelength of the polychromatic reflected light.
    Type: Application
    Filed: January 15, 2010
    Publication date: June 3, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Lei LIAN, Matthew F. DAVIS
  • Publication number: 20100133231
    Abstract: The present invention is a processing method including a processing step of performing predetermined processing for a workpiece; an unnecessary portion removal step of removing an unnecessary portion produced on a surface of the workpiece due to the predetermined processing; and a surface structure evaluation step of evaluating a surface structure of the workpiece from which the unnecessary portion has been removed by the unnecessary portion removal step.
    Type: Application
    Filed: October 14, 2009
    Publication date: June 3, 2010
    Inventors: Tsuyoshi Ohno, Toshihiko Kikuchi, Machi Moriya, Yoshitaka Saita
  • Patent number: 7723236
    Abstract: Mixing ratio and flow rate of a first gaseous mixture supplied to a central portion of the substrate are set. Subsequently, etching is performed by changing a mixing ratio of a second gaseous mixture supplied to an outer peripheral portion of the substrate while a setting of the first gaseous mixture is fixed, thereby, setting the mixing ratio of the second gaseous mixture based on an etching result to make etching selectivities and shapes at the central portion and the outer peripheral portion of the substrate uniform. Then, etching is performed by changing a flow rate of the second gaseous mixture while settings of the first gaseous mixture and the mixing ratio of the second gaseous mixture are fixed, thereby, setting the flow rate of the second gaseous mixture based on etching results to make etching rates at the central portion and the outer peripheral portion of the substrate uniform.
    Type: Grant
    Filed: January 18, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiromasa Mochiki
  • Patent number: 7719681
    Abstract: A two-chamber electron impact emission sensor effective for monitoring vapor flux of materials in the presence of interfering species is described. The sensor includes two independent electron excitation regions and one photodetector for monitoring emission from excited species from both chambers. Copper vapor flux from an evaporation source was accurately measured in the presence of interfering H2O vapor, and Ga vapor flux from an evaporation source was accurately monitored in the presence of interfering CO2 gas. The invention permits deposition rates to be monitored using electron-impact emission spectroscopy with significantly improved accuracy in the presence of interfering gases at high partial pressures.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: May 18, 2010
    Assignee: Inficon
    Inventor: Chih-shun Lu
  • Patent number: 7713432
    Abstract: The present invention provides a method and an apparatus for improving the etch uniformity across a substrate during a plasma etch process that employs the use of an inductively coupled plasma helical inductor. The plasma apparatus comprising a vacuum chamber, a support member in the vacuum chamber for holding the substrate, an etchant gas supply for providing an etchant gas to the vacuum chamber, an exhaust in fluid communication with the vacuum chamber, an RF power source and a helical inductor disposed around or near a portion of the vacuum chamber. A sensor is provided for measuring a process attribute to generate a signal to a controller that then controls a mechanism that varies the position of the helical inductor so that the uniformity of the plasma etch is improved.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: May 11, 2010
    Inventors: David Johnson, Russell Westerman
  • Patent number: 7678289
    Abstract: A method of indicating the progress of a sacrificial material removal process, the method, comprising; freeing a portion of a member, the member being disposed in a cage and laterally surrounded by the sacrificial material; and preventing the freed portion of the member from floating away by retaining the freed member.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: March 16, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Stephen Jalrus Potochnik, Kenneth James Faase
  • Publication number: 20100025369
    Abstract: To monitor the thickness of a focus ring consumed during wafer processing. A plasma processing apparatus includes a vacuum chamber 1, workpiece mounting means 5, high frequency electric power introducing means 4 and radio-frequency bias electric power introducing means 7 and processes a surface of a workpiece 6 using a plasma that is converted from a gas introduced into the vacuum chamber 1 by the action of a high frequency electric power introduced by the high frequency electric power introducing means 4. The plasma processing apparatus further includes an annular member 11 surrounding the workpiece 6 mounted on the workpiece mounting means 5, and a pair of tubes having an aspect ratio of 3 or higher and disposed on a side wall of the vacuum chamber 1 to face each other. Each tube is vacuum-sealed at a tip end thereof with a glass material.
    Type: Application
    Filed: September 2, 2008
    Publication date: February 4, 2010
    Inventors: Nobuyuki NEGISHI, Masaru Izawa, Kenji Maeda
  • Patent number: 7648916
    Abstract: Methods for monitoring and detecting optical emissions while performing photoresist stripping and removal of residues from a substrate or a film stack on a substrate are provided herein. In one embodiment, a method is provided that includes positioning a substrate comprising a photoresist layer into a processing chamber; processing the photoresist layer using a multiple step plasma process; and monitoring the plasma for a hydrogen optical emission during the multiple step plasma process; wherein the multiple step plasma process includes removing a bulk of the photoresist layer using a bulk removal step; and switching to an overetch step in response to the monitored hydrogen optical emission.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: January 19, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Elizabeth G. Pavel, Mark N. Kawaguchi, James S. Papanu
  • Publication number: 20090325387
    Abstract: Embodiments of the invention include method for in-situ chamber dry clean after photomask plasma etching. In one embodiment, the method includes placing a photomask upon a support pedestal, introducing a process gas into a process chamber, forming a plasma from the process gas, etching a chromium containing layer disposed on the photomask in the presence of the plasma, removing the photomask from the support pedestal, placing a dummy substrate on the pedestal and performing an in-situ dry cleaning process by flowing a cleaning gas containing O2 through the process chamber while the dummy substrate is disposed on the support pedestal.
    Type: Application
    Filed: June 26, 2008
    Publication date: December 31, 2009
    Inventors: Xiaoyi Chen, Zhigang Mao, David Knick, Michael Grimbergen, Darin Bivens, Madhavi Chandrahood, Ibrahim Ibrahim, Ajay Kumar
  • Patent number: 7632419
    Abstract: Apparatus for in-situ monitoring of a process in a semiconductor wafer processing system consists of a process chamber having a dome, an enclosure disposed above the chamber, a process monitoring assembly positioned proximate the dome, an opening in the dome, and a window covering the opening. A portion of the apparatus supports the process monitoring assembly to establish a line-of-sight propagation path of monitoring beams from above the dome, through the window to the substrate to facilitate etch depth measurement without encountering interference from high power energy sources proximate the chamber. A method of fabricating a process monitoring apparatus consists of the steps of boring an opening into a dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight propagation path of monitoring beams from the process monitoring assembly to a wafer, and covering the opening with a window.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: December 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael Grimbergen, Shaoher X. Pan
  • Patent number: 7632690
    Abstract: A process and apparatus for controlling an etchant gas concentration in an etch chamber. The etchant gas concentration and an inert gas concentration are determined and the latter concentration is used to normalize the etchant gas concentration. The normalized value is compared with a predetermined reference value and the flow of etchant gas into the chamber is controlled in response thereto.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: December 15, 2009
    Assignee: Agere Systems Inc.
    Inventor: Gerald W. Gibson, Jr.
  • Publication number: 20090277872
    Abstract: The present invention relates to a plasma etching method in which a special area for detecting an end point needs not to be set and an equipment therefor. At an etching step of forming SF6 gas into plasma to etch an etching ground on a Si film, the step is configured by two steps of: a large-amount supply step of supplying a large amount of SF6 gas; and a small-amount supply step of supplying a small amount of SF6 gas. An end-point detecting processor 34 measures an emission intensity of Si or SiFx in the plasma at the small-amount supply step, and determines that an etching end point is reached when the measured emission intensity becomes equal to or less than a previously set reference value.
    Type: Application
    Filed: July 27, 2007
    Publication date: November 12, 2009
    Applicant: SUMITOMO PRECISION PRODUCTS CO., LTD.
    Inventors: Takashi Yamamoto, Masahiko Tanaka, Yoshiyuki Nozawa, Shoichi Murakami
  • Patent number: 7604010
    Abstract: A film formation apparatus for a semiconductor process includes a cleaning gas supply circuit, a concentration measuring section, and an information processor. The cleaning gas supply circuit is configured to supply a cleaning gas into a reaction chamber to perform cleaning of removing from an inner surface of the reaction chamber a by-product film derived from a film formation gas. The concentration measuring section is disposed in an exhaust system to monitor concentration of a predetermined component contained in exhaust gas from the reaction chamber. The information processor is configured to compare a measurement value obtained by the concentration measuring section with a preset value and to thereby determine an end point of the cleaning.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: October 20, 2009
    Assignees: Tokyo Electron Limited, HORIBA Ltd.
    Inventors: Kazuhide Hasebe, Nobutake Nodera, Atsushi Endo, Makoto Umeki, Katsumi Nishimura, Masakazu Minami, Makoto Yoshida
  • Patent number: 7601272
    Abstract: An apparatus for integrating metrology and etch processing is disclosed. The apparatus comprises a multi-chamber system having a transfer chamber, an etch chamber and a metrology chamber, and a robot configured to transfer a substrate between the etch chamber and the metrology chamber. A method of processing a substrate and performing metrology measurement using this apparatus is also disclosed.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: October 13, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Khiem K. Nguyen, Richard Lewington
  • Patent number: 7598098
    Abstract: The aim of the invention is to create a simple monitoring or testing method for monitoring a reduction in thickness as material is removed from a bonded semiconductor wafer pair, which prevents failure effects as material is removed from wafers (polishing, grinding or lapping). In addition, the costs of the material removal process should be reduced by minimizing the complexity of monitoring, as well as by reducing the amount of resulting refuse. To this end, the invention provides a test structure (4, 5, 6, 7, 8, 9) comprised of a systematic row of a number of different depth trenches that are made in the (active) wafer (2). A thickness (h6; h7) of the active wafer (2) desired during material removal, particularly during a polishing, corresponds to the depth (t6; t7) of a reference trench (6; 7) of the trenches of the test structure, said reference trench (6) being surrounded by flatter and deeper trenches (5, 7).
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: October 6, 2009
    Assignee: X-Fab Semiconductor Foundries AG
    Inventor: Ralf Lerner
  • Patent number: 7591923
    Abstract: A plasma processing system and method for operating an optical system in conjunction with a plasma processing system are provided. The plasma processing system includes an optical system in communication with a plasma processing chamber of the plasma processing system. The optical system has a window and is constructed and arranged to detect a plasma process condition through the window and a transmission condition of the window. The method includes detecting an optical emission from the plasma processing region and monitoring contamination of a window provided by the optical system.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: September 22, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Andrej S Mitrovic, Audunn Ludviksson
  • Publication number: 20090233192
    Abstract: The invention is to provide a method in which waviness generated on a glass substrate surface during pre-polishing is removed, thereby finishing the glass substrate so as to have a surface excellent in flatness.
    Type: Application
    Filed: June 1, 2009
    Publication date: September 17, 2009
    Applicant: ASAHI GLASS COMPANY LIMITED
    Inventors: Koji Otsuka, Kenji Okamura
  • Publication number: 20090218314
    Abstract: Embodiments described herein provide a method and apparatus for obtaining process information in a substrate manufacturing process using plasma. In one embodiment, a chamber is provided having one or more optical metrology modules that are positioned such that optical energy from the plasma process is detected at substantially orthogonal angles. Metrics derived from detected optical energy may be used for endpoint determination, substrate temperature, and monitoring of critical dimensions on the substrate.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 3, 2009
    Inventors: Matthew Fenton Davis, Lei Lian