By Optical Means Or Of An Optical Property Patents (Class 216/60)
  • Publication number: 20090180113
    Abstract: Embodiments of the present invention relate to the analysis of the components of one or more gases, for example a gas mixture sampled from a semiconductor manufacturing process such as plasma etching or plasma enhanced chemical vapor deposition (PECVD). Particular embodiments provide sufficient power to a plasma of the sample, to dissociate a large number of the molecules and molecular fragments into individual atoms. With sufficient power (typically a power density of between 3-40 W/cm3) delivered into the plasma, most of the emission peaks result from emission of individual atoms, thereby creating spectra conducive to simplifying the identification of the chemical composition of the gases under investigation. Such accurate identification of components of the gas may allow for the precise determination of the stage of the process being performed, and in particular for detection of process endpoint.
    Type: Application
    Filed: August 1, 2008
    Publication date: July 16, 2009
    Applicant: Pivotal Systems Corporation
    Inventors: Joseph R. Monkowski, Barton Lane
  • Publication number: 20090152241
    Abstract: The invention provides a method and apparatus for performing plasma etching to form a gate electrode on a large-scale substrate while ensuring the in-plane uniformity of the CD shift of the gate electrode. The present invention measures a radical density distribution of plasma in the processing chamber, feeds processing gases into the processing chamber through multiple locations and controls either the flow rates or compositions of the respective processing gases or the in-plane temperature distribution of a stage on which the substrate is placed, or feeds processing gases into the processing chamber through multiple locations and controls both the flow rates or compositions of the processing gases and the in-plane temperature distribution of the stage on which the substrate is placed.
    Type: Application
    Filed: February 20, 2009
    Publication date: June 18, 2009
    Inventors: Go MIYA, Junichi TANAKA, Seiichiro KANNO, Naoshi ITABASHI, Hiroshi AKIYAMA, Kouhei SATOU
  • Patent number: 7534360
    Abstract: The method of making a diamond product in accordance with the present invention comprises the steps of forming a diamond substrate (50) with a mask layer (52), and etching the diamond substrate (50) formed with the mask layer (52) with a plasma of a mixed gas composed of a gas containing an oxygen atom and a gas containing a fluorine atom, whereas the fluorine atom concentration is within the range of 0.04% to 6% with respect to the total number of atoms in the mixed gas.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: May 19, 2009
    Assignees: Sumitomo Electric Industries, Ltd., Japan Fine Ceramics Center
    Inventors: Yoshiki Nishibayashi, Kiichi Meguro, Takahiro Imai, Yutaka Ando
  • Patent number: 7534469
    Abstract: A CVD apparatus comprising an optical unit detecting the mass of contaminants adhering to an inner surface of a CVD reactor by irradiating an inner surface of the reactor with light having monochromaticity through an optical window provided on an inner wall of the reactor and receiving its reflected light is provided.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: May 19, 2009
    Assignee: ASM Japan K.K.
    Inventors: Kiyoshi Satoh, Yoshinobu Kano
  • Patent number: 7514277
    Abstract: An etching method capable of controlling the film thickness of a hard mask layer uniformly is provided. A plasma etching is performed on a native oxide film by using an etching gas containing, for example, CF4 and Ar while a thickness of a silicon nitride film is being monitored and the etching is finished when the thickness of the silicon nitride film reaches a predetermined value. Then, a plasma etching is performed on a silicon substrate by employing an etching gas containing, for example, Cl2, HBr and Ar and using the silicon nitride film as a mask while a depth of a trench is being monitored and the etching is finished when the depth of the trench reaches a specified value.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: April 7, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Susumu Saito, Akitaka Shimizu
  • Patent number: 7514015
    Abstract: A system for removing photoresist from semiconductor wafers is disclosed. The system utilizes a solid-state laser having wavelengths in the near-visible and visible portions of the electromagnetic spectrum to remove photoresist without requiring hazardous gases or wet solutions. In addition, the system does not damage the substrate being cleaned, nor leave a carbon residue requiring further processing to remove. The system uses photon energy, oxygen, water vapor and ozone to interact with contaminants on a surface, forming a gas reaction zone (GRZ). The GRZ reacts and completely removes the photoresist or other unwanted contamination.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: April 7, 2009
    Assignee: UVTech Systems
    Inventors: David J. Elliott, Ronald P. Millman, Jr., Murray Tardif, Krista Aiello
  • Publication number: 20090065478
    Abstract: Measuring thickness and the rate of change of thickness of a material having a surface while the material is being etched, comprising: illuminating the material with low coherence light, a portion of the which transmits through the material and a portion of which is reflected; etching the material surface and while etching, collecting a portion of the reflected light from each optical interface of the material with a low coherence light interferometer; calculating the thickness and rate of change of thickness of the material or part of the material according to the obtained interferometric data; and storing or displaying the resultant thickness and rate of change of thickness of the material. The present invention provides a unique way of calculating the thermo optic coefficient of a material. This method can be used simultaneously with etching the material so that changes to the etching rate can be made in real time.
    Type: Application
    Filed: September 11, 2007
    Publication date: March 12, 2009
    Inventors: Kevin P. Dockery, Michael A. Marcus, Kurt D. Sieber
  • Patent number: 7494598
    Abstract: Miniature optically transparent windows are disclosed that extend vertically from a plane, which may be used to transmit light traveling in a direction substantially parallel with the plane. In one illustrative embodiment, a method for forming such miniature optically transparent windows includes: providing a substrate having a first surface and an opposing second surface, the substrate having a first layer and an adjacent second layer; forming a recess in the first layer of the substrate, the recess extending to the second layer; providing an optically transparent material in the recess to form an optically transparent feature; and removing at least a portion of the first layer that extends adjacent the optically transparent feature so that light can pass through the optically transparent feature in a direction that is substantially parallel to the first surface of the substrate.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: February 24, 2009
    Assignee: Honeywell International Inc.
    Inventors: Daniel W. Youngner, Son T. Lu
  • Publication number: 20090026170
    Abstract: A plasma processing apparatus can prevent a sheath from becoming distorted, simplify a configuration of the apparatus, and prevent particles from attaching to a substrate. The plasma processing apparatus performs plasma processing on the substrate. A housing chamber houses the substrate. A mounting stage is disposed within the housing chamber and mounted with the substrate. An annular member is disposed in the mounting stage. A power supply unit supplies high-frequency power to the mounting stage. An observation unit optically observes the distribution of the plasma. A voltage applying unit applies a DC voltage to the annular member. A control unit sets the value of the DC voltage to be applied based on the observed plasma distribution.
    Type: Application
    Filed: March 11, 2008
    Publication date: January 29, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi TANAKA, Chishio Koshimizu, Manabu Iwata, Naoki Matsumoto, Toru Ito
  • Patent number: 7481944
    Abstract: This invention accurately detects an etch amount of an etching target layer irrespective of a type of a mask layer. A light La is reflected by an upper surface of a photoresist mask layer 316 and a bottom of a hole H. Thereby a reflected light La1 and a reflected light La2 are obtained. The reflected lights La1 and La2 interfere with each other, thereby generating an interference light Lai. A light Lb is reflected by an interface between the photoresist mask layer 316 and a polysilicon film 304, and the upper surface of the photoresist mask layer 316. Thereby a reflected light Lb1 and a reflected light Lb2 are obtained. The reflected lights Lb1 and Lb2 interfere with each other, thereby generating an interference light Lb1. Using the interference lights Lai and Lbi, an etch amount of the polysilicon film 304 is calculated.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: January 27, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Syuji Nozawa, Katsuhiro Nishimaki
  • Publication number: 20090014409
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: October 29, 2007
    Publication date: January 15, 2009
    Inventor: Michael Grimbergen
  • Patent number: 7473332
    Abstract: A method of processing a semiconductor which includes providing a process gas supply unit for supplying a process gas to a sample stand to hold a sample in a process chamber and to the process chamber, successively supplying a plurality of samples of a lot to the process chamber to conduct an intra-lot successive process, predicting, before a lot process is started and according to sensor data detected by a state sensor to detect a state in the process chamber, intra-lot variation patterns of results of the intra-lot successive process, and changing, according to a result of the prediction by the intra-lot variation pattern prediction unit, a process condition applied to a sample of the lot and conducting the lot process.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: January 6, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Junichi Tanaka, Hiroyuki Kitsunai, Hideyuki Yamamoto, Akira Kagoshima, Daisuke Shiraishi
  • Publication number: 20090001052
    Abstract: A plasma processing method for processing a sample mounted on a sample stage in a decompressable processing chamber in which plasma is produced. The method includes detecting a distribution of a concentration of a substance over a surface of a sample in the processing chamber using both of (1) a result of receiving light emission of the plasma and in different directions along the surface of the sample inside the processing chamber, detecting on the respective directions a constituent of the plasma and providing outputs indicative thereof, respectively, and (2) a result of taking in gases in the processing chamber and determining a mass of a constituent of the gases, and adjusting an operation of the processing of the sample so as to adjust a distribution of the processing on the sample surface based on the detected distribution of the concentration of the substance.
    Type: Application
    Filed: August 29, 2008
    Publication date: January 1, 2009
    Inventors: Akitaka Makino, Koichi Mishima, Takashi Kaneko, Toyoharu Okumoto
  • Publication number: 20080296257
    Abstract: Miniature optically transparent windows are disclosed that extend vertically from a plane, which may be used to transmit light traveling in a direction substantially parallel with the plane. In one illustrative embodiment, a method for forming such miniature optically transparent windows includes: providing a substrate having a first surface and an opposing second surface, the substrate having a first layer and an adjacent second layer; forming a recess in the first layer of the substrate, the recess extending to the second layer; providing an optically transparent material in the recess to form an optically transparent feature; and removing at least a portion of the first layer that extends adjacent the optically transparent feature so that light can pass through the optically transparent feature in a direction that is substantially parallel to the first surface of the substrate.
    Type: Application
    Filed: November 22, 2005
    Publication date: December 4, 2008
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Daniel W. Youngner, Son T. Lu
  • Patent number: 7455790
    Abstract: A plasma processing method using a spectroscopic processing unit which includes separating spectrally plasma radiation emitted from a vacuum process chamber into component spectra, converting the component spectra into a time series of analogue electric signals composed of different wavelength components at a predetermined period, adding together analogue signals of the different wavelength components, converting a plurality of added signals into digital quantities on a predetermined-period basis, digitally adding together the plurality of added and converted signals a plural number of times on a plural-signal basis, determining discriminatively an end point of a predetermined plasma process on the basis of a signal resulting from the digital addition step, and terminating the predetermined plasma process.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: November 25, 2008
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Tetsunori Kaji, Shizuaki Kimura, Tatehito Usui, Takashi Fujii
  • Patent number: 7457454
    Abstract: A method for inspecting semiconductor wafers and the like is presented. The method comprises initially determining a baseline greyscale difference, such as a greyscale plot or greyscale visual representation, for at least one baseline semiconductor wafer subjected to a process. The baseline greyscale difference represents a numerical difference between composite preprocessing and postprocessing greyscale representations of all pixels on the baseline semiconductor wafer. The method further comprises determining a preprocess greyscale representation for one wafer in the semiconductor wafer set and subjecting the one wafer in the semiconductor wafer set to the process, determining a postprocess greyscale representation of the one wafer in the semiconductor wafer set, and determining a difference for the one wafer in the semiconductor set. The difference represents any disparity between preprocess and postprocess greyscale representations of the one wafer in the semiconductor set.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: November 25, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Kaustuve Bhattacharyya
  • Publication number: 20080272089
    Abstract: A substrate etching apparatus comprises a chamber having a wall with a window, substrate support pedestal, energy source, and monitoring assembly with signal sensor capable of detecting reflected radiation from the substrate from directly above the substrate after the radiation propagates through the window in the wall. An etching method comprises the steps of: providing a substrate in a chamber, etching a channel or trench in the substrate by coupling energy through the wall of the chamber to energize an etch gas in the chamber, detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the wall and evaluating the detected radiation to monitor the depth of etching of the channel or trench being etched on the substrate.
    Type: Application
    Filed: July 2, 2008
    Publication date: November 6, 2008
    Inventors: Michael Grimbergen, Shaoher X. Pan
  • Patent number: 7446367
    Abstract: A reliable gap-filling process is performed in the manufacturing of a semiconductor device. An apparatus for performing the gap-filling process includes a chamber in which a wafer chuck is disposed, a plasma generator for generating plasma used to etch the wafer, an end-point detection unit for detecting the point at which the etching of the wafer is to be terminated, and a controller connected to the end-point detection unit. The end-point detection unit monitors the structure being etched at a region outside the opening that is to be filled, and generates in real time data representative of the layer that is being etched. As soon as an underlying layer is exposed and begins to be etched, an end-point detection signal is generated and the etching process is terminated. In the case in which the layer being etched is an oxide layer, a uniform etching is achieved despite any irregularity that exists in the thickness to which the oxide layer is formed.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: November 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-kyu Kim, Jin-ho Jeon, Kyoung-soo Kwon
  • Publication number: 20080261335
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: October 29, 2007
    Publication date: October 23, 2008
    Inventor: Michael Grimbergen
  • Patent number: 7413992
    Abstract: The embodiments provides an improved tungsten silicide etching process with reduced etch rate micro-loading effect. In one embodiment, a method for etching a layer formed on a substrate is provided. The method includes providing a substrate into a plasma processing chamber, the substrate having a metal silicide layer formed thereon and a patterned mask defined over the metal silicide layer. The method also includes supplying an etching gas mixture of a fluorine-containing gas, a chlorine-containing gas, a nitrogen-containing gas, and an oxygen-containing gas to the plasma processing chamber, wherein the ratio of the nitrogen-containing gas to the fluorine-containing gas is between about 5 to about 15.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: August 19, 2008
    Assignee: Lam Research Corporation
    Inventors: Sok Kiow Tan, Shenjian Liu, Harmeet Singh, Sam Do Lee, Linda Fung-Ming Lee
  • Publication number: 20080176149
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: October 29, 2007
    Publication date: July 24, 2008
    Inventor: Michael Grimbergen
  • Patent number: 7402257
    Abstract: The present invention is generally directed to plasma state monitoring to control etching processes and across-wafer uniformity, and a system for performing same. In one illustrative embodiment, the method comprises generating a plasma within an etching tool, monitoring at least one characteristic of the generated plasma, and controlling at least one parameter of a plasma etching process performed in the tool based upon the monitored at least one characteristic of the plasma. In another illustrative embodiment, the method comprises generating a plasma within an etch tool, performing a plasma etching process within the etch tool, determining at least one characteristic of the plasma, and controlling at least one parameter of the etching process based upon a comparison of the determined at least one characteristic of the plasma and a target value for the determined at least one characteristic of the plasma.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: July 22, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas J. Sonderman, Richard J. Markle
  • Patent number: 7399711
    Abstract: A method of controlling a recess etch process for a multilayered substrate having a trench therein and a column of material deposited in the trench includes determining a first dimension from a surface of the substrate to a reference point in the substrate by obtaining a measured net reflectance of at least a portion of the substrate including the trench, computing a modeled net reflectance of the portion of the substrate as a weighted incoherent sum of reflectances from n?1 different regions constituting the portion of the substrate, determining a set of parameters that provides a close match between the measured net reflectance and the modeled net reflectance, and extracting the first dimension from the set of parameters; computing an endpoint of the process as a function of the first dimension and a desired recess depth measured from the reference point; and etching down from a surface of the column of material until the endpoint is reached.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: July 15, 2008
    Assignee: Lam Research Corporation
    Inventors: Andrew J. Perry, Vijayakumar C. Venugopal
  • Patent number: 7396481
    Abstract: This invention relates to a method for etching an organic insulating film used in the production of semiconductor devices. A sample to be etched on which a low dielectric constant organic insulating film is formed is etched by generating a plasma from hydrogen gas and nitrogen gas or ammonia gas, and controlling the gas flow rate and pressure so that the light emission spectral intensity ratio of hydrogen atom and cyan molecule in the plasma comes to a prescribed value. By this method, a low dielectric constant organic insulating film as an insulating film between layers can be etched without using any etch stop layer so that bottom surfaces of trenches and holes for electrical wiring become flat.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: July 8, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Michinobu Mizumura, Ryooji Fukuyama, Yutaka Ohmoto, Katsuya Watanabe
  • Patent number: 7393459
    Abstract: A method for automatic determination of a state of a substrate in a plasma processing chamber is provided. Substrate reflectance data is collected in a processing chamber prior to processing to be analyzed with reference reflectance data to determine if the substrate state meets a control criterion. The substrate state may define the thickness and the qualities of the films on the substrate, the critical dimensions of the different layers on the substrate. The reflectance data is analyzed using a multi-variant analysis technique, such as principle component analysis. In addition to analyzing substrate state prior to processing, substrate reflectance could also be collected in a processing chamber during processing to be analyzed with reference reflectance data to further determine if the substrate state and/or the substrate processing are meeting a control criterion.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: July 1, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Matthew F Davis, Lei Lian, Quentin E. Walker
  • Patent number: 7377992
    Abstract: A mask layer and a to-be-processed layer are irradiated with light to measure interference light formed of reflected lights from the mask layer and reflected lights from the to-be-processed layer. Thereafter, an interference component brought by the mask layer is removed from the waveform of the measured interference light, thereby calculating the waveform of the interference light brought by the to-be-processed layer. The thickness of the remaining to-be-processed layer is determined on the basis of the calculated waveform of the interference light and the thickness of the remaining to-be-processed layer is compared with a desired thickness thereof. In this way, an end point of processing on the to-be-processed layer is detected.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: May 27, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takeshi Yamashita, Takao Yamaguchi
  • Patent number: 7378003
    Abstract: A focused particle beam system, according to one embodiment of the invention, precisely shapes a pole-tip assembly formed by a multi-layer device having a first layer with a first structural element, a second layer with a second structural element, and a shielding layer with a shielding element, the shielding element being located between the first layer and the second layer. The focused particle beam system mills the second structural element without irradiating a first structural element. The system images a selected portion of the multi-layer device to locate the shielding element and thereby avoids irradiating the first structural element. The shielding element separates the first structural element from the second structural element. Based on the location of the shielding element, the system images and mills the second structural element without irradiating the first structural element.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: May 27, 2008
    Assignee: FEI Company
    Inventors: Gregory J. Athas, Russel Mello
  • Publication number: 20080099435
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: August 24, 2007
    Publication date: May 1, 2008
    Inventor: Michael Grimbergen
  • Publication number: 20080099436
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: August 24, 2007
    Publication date: May 1, 2008
    Inventor: Michael Grimbergen
  • Publication number: 20080099434
    Abstract: A plasma etch method includes simultaneously illuminating an array of plural locations on front surface of the workpiece through the backside of the workpiece with light of a wavelength range for which the workpiece is transparent, while viewing light reflected from the array of plural locations to the backside of the workpiece. The method further includes determining plural etch depths at the array of locations from the light reflected from the array of locations on the front side of the workpiece, and deducing from the plural etch depths a spatial distribution of etch rate across the array of locations. The method also includes changing the etch rate distribution by adjusting a tunable element of the reactor.
    Type: Application
    Filed: October 30, 2006
    Publication date: May 1, 2008
    Inventors: Madhavi R. Chandrachood, Michael N. Grimbergen, Khiem K. Nguyen, Richard Lewington, Ibrahim M. Ibrahim, Sheeba J. Panayil, Ajay Kumar
  • Patent number: 7361286
    Abstract: A method of detecting an etching end-point includes the steps of: forming a mask on a pattern area of an etching object; forming an etching indicator on an etching area of the etching object, which is not covered by the mask; etching the etching object using the mask; and evaluating the size of a remaining object covered by the mask using the etching indicator.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hyun Kim, Yu-Dong Bae, Jung-Kee Lee, In Kim
  • Publication number: 20080083699
    Abstract: The present invention provides a system and method for producing a groove with a required shape in a substrate, wherein the groove has at least one facet for folding a beam of light. The system includes a drilling laser beam for producing the groove, the laser beam passable through an aperture to affect the shape of the groove, wherein at least one facet of said groove intersects a path of the beam of light.
    Type: Application
    Filed: October 10, 2006
    Publication date: April 10, 2008
    Inventors: David Brooks, Serge Steinblatt, David Lachmann
  • Patent number: 7354524
    Abstract: A method of processing multi-layer films, the method including: (1) processing a plurality of layers according to selected parameters, (2) determining a plurality of optical characteristics each associated with one of the plurality of layers and determined during the processing of the associated one of the plurality of layers, and (3) determining dynamic processing progressions each based on one of the plurality of optical characteristics that is associated with a particular one of the plurality of layers undergoing the processing.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: April 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui Ou Yang, Miao-Ju Hsu, Chao-Cheng Chen, Hun-Jan Tao
  • Publication number: 20080061034
    Abstract: An etching apparatus includes a chamber, a substrate support in the chamber, a substrate-screening unit over the substrate support, wherein a diameter of the substrate-screening unit is smaller than as or equals to a substrate, a gas injection means injecting gases onto a periphery of the substrate, a power supply unit providing an RF (radio frequency) power into the chamber, and a plurality of sensors sensing intervals between the substrate support and the substrate-screening unit.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 13, 2008
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Dae-Sik JUNN, Jeong-Beom LEE, Sung-Ho CHA, Sung-Min NA, Myung-Gon SONG, Duck-Ho KIM, Kyoung-Jin LIM
  • Patent number: 7335315
    Abstract: The present invention attracts a wafer 6, placed on a susceptor 5, toward the susceptor 5 by the electrostatic attractive power of an electrostatic chuck electrode 7, varies the output voltage of a variable direct current power source 23 for the electrostatic chuck electrode 7 while measuring the temperature of the wafer 6 by a temperature detection sensor 21; and detects the potential of the wafer 6 based on the output voltage of the variable direct current power source 23 at a time when the temperature of the wafer 6 peaks.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: February 26, 2008
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Ryuichi Matsuda, Yuichi Kawano, Masahiko Inoue
  • Patent number: 7329361
    Abstract: A method and apparatus for fabricating or altering a microstructure use means for heating to facilitate a local chemical reaction that forms or alters the submicrostructure.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 12, 2008
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Hendrik F. Hamann, Herschel M. Marchman, Robert J. Von Gutfeld
  • Patent number: 7306746
    Abstract: A method for controlling a critical dimension in an etched structure comprises the steps of: forming a hard mask above a substrate, measuring a critical dimension of the hard mask, and using the measured hard mask critical dimension to control a critical dimension trim operation performed on a circuit trace above the substrate.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: December 11, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Fang-Cheng Chen, Li Te Hsu, I Cheng Tseng, Hsu Chiung Wen, Tsung Chuan Chen, Pin Chia Su
  • Publication number: 20070278180
    Abstract: A method of imaging and identifying materials on and below the surface of a structure is described. The method may be used in areas as small as one micron in diameter, and may remove a thin portion of the topmost material, repeating the analysis, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. The reaction products from the radical attack on the surface are pumped away from the surface and analyzed using various methods, such as optical emission, infrared, atomic absorption, or Raman spectroscopy.
    Type: Application
    Filed: June 1, 2006
    Publication date: December 6, 2007
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington, Neal R. Rueger
  • Publication number: 20070272656
    Abstract: A method of forming a relatively fine contact hole using two masks. The two masks may have only their edge portions open, which may overlap each other.
    Type: Application
    Filed: May 23, 2007
    Publication date: November 29, 2007
    Inventor: Haeng Leem Jeon
  • Publication number: 20070267142
    Abstract: Treatment of a semiconductor wafer employs: a) position-dependent measuring of a parameter characterizing the semiconductor wafer to determine a position-dependent value of the parameter over an entire surface of the semiconductor wafer, b) oxidizing the entire surface of the semiconductor wafer under the action of an oxidizing agent with simultaneous exposure of the entire surface, the oxidation rate and thus the thickness of the resulting oxide layer dependent on the light intensity at the surface of the semiconductor wafer, and c) removing of the oxide layer, the light intensity in step b) predefined in a position-dependent manner such that differences in the position-dependent values of the parameter measured are reduced by the position-dependent oxidation rate resulting in step b) and subsequent removal of the oxide layer in step c).
    Type: Application
    Filed: May 17, 2007
    Publication date: November 22, 2007
    Applicant: SILTRONIC AG
    Inventors: Brian Murphy, Diego Feijoo, Reinhold Wahlich
  • Patent number: 7297287
    Abstract: An apparatus and method for detection of a feature etch completion within an etching reactor. The method includes determining a correlation matrix by recording first measured data regarding a first etch process over successive time intervals to form a first recorded data matrix, assembling a first endpoint signal matrix using target endpoint data for a specific etch process, performing a partial least squares analysis on the recorded data matrix and the first endpoint signal matrix to refine the recorded data matrix, and computing a correlation matrix based upon the refined recorded data matrix and the first endpoint signal matrix. The method further includes performing a second etch process to form a second recorded data matrix. The correlation matrix and the second recorded data matrix are analyzed to determine whether an endpoint of the second etch process has been achieved.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: November 20, 2007
    Assignee: Tokyo Electron Limited
    Inventors: David Fatke, Hongyu Yue
  • Patent number: 7297560
    Abstract: The present invention presents a method for detecting an endpoint of an etch process for etching a substrate in plasma processing system (1) comprising: etching the substrate; measuring at least one endpoint signal; generating at least one filtered endpoint signal by filtering the at least one endpoint signal, wherein the filtering comprises applying a Savitsky Golay filter (12) to the at least one endpoint signal; and determining (14) an endpoint of the etch process from the at least one filtered endpoint signal.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 20, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Hongyu Yue
  • Patent number: 7279114
    Abstract: The invention is directed to an etching method for patterning a first material layer over a second material layer to expose a portion of the second material layer. The etching method comprises steps of performing a first etching process to remove a portion of the first material layer in an etching chamber and then performing an etching environment adjustment process in the etching chamber. A second etching process is performed on the first material layer and, meanwhile, a real-time etching monitor process is performed for generating an endpoint detection spectrum subsequent to the etching environment adjustment process, wherein at least one of signals of the endpoint detection spectrum is stabilized by the inert gas plasma treatment.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: October 9, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Ping Hong
  • Publication number: 20070215575
    Abstract: A method and system for high-speed, precise, laser-based modification of at least one electrical element made of a target material is provided. The system includes a laser subsystem that generates a pulsed laser output wherein each laser pulse has a pulse energy, a laser wavelength within a range of ablation sensitivity of the target material, and a pulse duration short enough to substantially reduce ablation threshold energy density of the target material. The system further includes a beam positioner that selectively irradiates the at least one electrical element with the one or more laser pulses focused into at least one spot so as to cause the one or more laser pulses to selectively ablate a portion of the target material from the at least one element while avoiding both substantial spurious opto-electric effects and undesirable damage to the non-target material.
    Type: Application
    Filed: February 21, 2007
    Publication date: September 20, 2007
    Inventors: Bo Gu, Donald J. Svetkoff
  • Publication number: 20070215574
    Abstract: A prediction method for a substrate processing apparatus is to predict processing results from operation data on the substrate processing apparatus during a procedure for processing a target processing substrate in a processing chamber of the substrate processing apparatus. The method includes the steps of: collecting operation data obtained; and obtaining a moving average of a preset number of sets of data using the processing result data collected at the data collection step. The method further includes the steps of: performing multivariate analysis using the operation data collected at the data collection step and the moving average processing result data obtained at the moving average processing step; and predicting processing results using operation data obtained when a target processing substrate, other than the target processing substrate used to obtain the correlation at the analysis step, is processed on a basis of the correlation.
    Type: Application
    Filed: March 9, 2007
    Publication date: September 20, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hideki TANAKA
  • Publication number: 20070187361
    Abstract: A light-shielding layer over a transparent substrate is processed into a predetermined pattern by first etching and then a recess is formed in an underlying layer below the light-shielding layer by second etching using at least the light-shielding layer as a mask. Subsequently, a defect inspection of the recess is performed. If, as a result of the inspection, a residue defect is detected at the recess otherwise formed in the underlying layer below the light-shielding layer, defect portion data of a pattern of a region including a residue-defect portion is produced and a repairing resist pattern is formed on the light-shielding layer based on the defect portion data. Then, third etching is applied to the underlying layer below the light-shielding layer using the light-shielding layer and the repairing resist pattern as a mask, thereby repairing the residue-defect portion.
    Type: Application
    Filed: February 6, 2007
    Publication date: August 16, 2007
    Applicant: HOYA CORPORATION
    Inventor: Hideki Suda
  • Patent number: 7241397
    Abstract: An optical window deposition shield including a backing plate having a through hole, and a honeycomb structure having a plurality of adjacent cells configured to allow optical viewing through the honeycomb structure. Each cell of the honeycomb structure has an aspect ratio of length to diameter sufficient to impede a processing plasma from traveling through the full length of the cell. A coupling device configured to couple the honeycomb core structure to the backing plate such that the honeycomb structure is aligned with at least a portion of the through hole in the backing plate. The optical window deposition shield shields the optical viewing window of a plasma processing apparatus from contact with the plasma.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: July 10, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Steven T. Fink, Andrej S. Mitrovic, Paula A. Calabrese
  • Patent number: 7232526
    Abstract: A method and apparatus for controlling the removal of material from a semiconductor substrate in an integrated circuit fabrication process is disclosed. The method and apparatus utilize a light source or charged particle beam (electron or ion beam) to induce a current in at least one P-N junction formed in the semiconductor substrate. The induced current is monitored during the removal of material and the process is stopped or endpointed in response to the induced current making a predetermined transition.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: June 19, 2007
    Assignee: Intel Corporation
    Inventors: Richard H. Livengood, Paul Winer, Gary Woods, Michael DiBattista
  • Patent number: 7229566
    Abstract: A two-dimensional image of an alignment mark 30 is acquired by an alignment scope 15 at step S61, and the two-dimensional image acquired at step S61 is converted to a light-intensity signal line by line at step S62. A selection as to whether each line signal is valid or unnecessary is made at step S63. The amount of positional deviation of the alignment mark 30 is calculated using only valid line signals at step S64.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: June 12, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takahiro Matsumoto, Hideki Ina
  • Patent number: 7217371
    Abstract: The present invention relates to interfacing new sensors to incumbent controls. In particular, it relates to optically interfacing a new sensor, such as a spectrometer with plasma generator, to an incumbent electro-optical sensor. Logic and resources to control activation of the incumbent electro-optical sensor may be included. Particular aspects of the present invention are described in the claims, specification and drawings.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: May 15, 2007
    Assignee: Lightwind Corporation
    Inventor: Herbert E. Litvak