By Optical Means Or Of An Optical Property Patents (Class 216/60)
  • Patent number: 7204934
    Abstract: A method for processing recess etch operations in substrates is provided including forming a hard mask over the substrate and etching a trench in the substrate using the hard mask, and forming a dielectric layer over the hard mask and in the trench, where the dielectric layer lines the trench. A conductive material is then applied over the dielectric layer such that a blanket of the conductive material lies over the hard mask and fills the trench, and the conductive material is etched to substantially planarize the conductive material. The etching of the conductive material triggers an endpoint just before all of the conductive material is removed from over the dielectric layer that overlies the bard mask. The conductive material is recess etched to remove the conductive material over the dielectric layer that overlies the hard mask and removes at least part of the conductive material from within the trench.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: April 17, 2007
    Assignee: Lam Research Corporation
    Inventors: Linda Braly, Vahid Vahedi, Erik Edelberg, Alan Miller
  • Patent number: 7199053
    Abstract: Disclosed is a method for detecting an end-point of a CMP process of a semiconductor device. More specifically, when all polishing processes are performed using a nitride film as a polishing barrier film, a buffer layer including nitrogen is formed on the nitride film and a polishing process is performed. Then, the concentration of NO from ammonia gas generated from the buffer layer is detected so that the nitride film may be polished to a desired target without damage of the nitride film. As a result, an end-point can be set.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jong Goo Jung
  • Patent number: 7189332
    Abstract: Processes for the removal of a layer or region from a workpiece material by contact with a process gas in the manufacture of a microstructure are enhanced by the ability to accurately determine the endpoint of the removal step. A vapor phase etchant is used to remove a material that has been deposited on a substrate, with or without other deposited structure thereon. By creating an impedance at the exit of an etching chamber (or downstream thereof), as the vapor phase etchant passes from the etching chamber, a gaseous product of the etching reaction is monitored, and the endpoint of the removal process can be determined. The vapor phase etching process can be flow through, a combination of flow through and pulse, or recirculated back to the etching chamber.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: March 13, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Satyadev R. Patel, Gregory P. Schaadt, Douglas B. MacDonald, Niles K. MacDonald, Hongqin Shi
  • Patent number: 7187523
    Abstract: A method of marking a sintered body includes the step of preparing the sintered body by sintering a mixture of first and second types of powder particles. The first type of powder particles is made of a first material and the second type of powder particles is made of a second material that has a different etch susceptibility from the first material. The method further includes the step of writing ID information on the surface of the sintered body by forming a first concave region to a depth of at least about 10 nm under the surface of the sintered body and a second concave region under the first concave region, respectively. The first concave region is formed by etching away both the first and second types of powder particles, while the second concave region is formed by etching away only the first type of powder particles.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: March 6, 2007
    Assignee: Neomax Co., Ltd.
    Inventor: Taisuke Hirooka
  • Patent number: 7182878
    Abstract: This relates to optical devices such as planar light-wave components/circuits which are designed to have a high waveguide pattern density effecting a higher etch selectivity and overall improved dimensional control of the functional waveguides on the optical device.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: February 27, 2007
    Assignee: Lightwave Microsystems Corporation
    Inventors: Jongik Won, Calvin Ka Kuen Ho, Fan Zhong, Liang Zhao
  • Patent number: 7175875
    Abstract: The apparatus for processing an in-process substrate by generating a plasma have a processing chamber with an observation window, in which the in-process substrate is disposed; plasma generating means for generating a plasma in the inside of the processing chamber; irradiation means for projecting a light beam into the inside of the processing chamber through the observation window; detection means for detecting the light projected and reflected from the inside wall of the chamber by the irradiation means; and data processing means for obtaining information on the state of contamination of the inside wall of the processing chamber by processing signals obtained through detection of the reflected light by the detection means, and thereby permitting simultaneously monitoring of both the state of contamination of an inside wall of the processing chamber and foreign materials suspended in the processing chamber, with a single observation window and an optical system composed of one unit.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: February 13, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyuki Nakano, Toshihiko Nakata
  • Patent number: 7169440
    Abstract: A method is provided for plasma ashing to remove photoresist remnants and etch residues that are formed during preceding plasma etching of dielectric layers. The ashing method uses a two-step plasma process involving an oxygen-containing gas, where low or zero bias is applied to the substrate in the first cleaning step to remove significant amount of photoresist remnants and etch residues from the substrate, in addition to etching and removing detrimental fluoro-carbon residues from the chamber surfaces. An increased bias is applied to the substrate in the second cleaning step to remove the remains of the photoresist and etch residues from the substrate. The two-step process reduces the memory effect commonly observed in conventional one-step ashing processes. A method of endpoint detection can be used to monitor the ashing process.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: January 30, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Masaaki Hagiwara, Eiichi Nishimura, Kouichiro Inazawa
  • Patent number: 7135123
    Abstract: The backside navigation method of the present invention includes milling a fiducial opening through the substrate of an integrated circuit. The milling process is stopped when the fiducial opening reaches the bottom of a trench isolation structure. The trench isolation structure delineated by the fiducial opening may be imaged and registered to a computer aided design layout image to achieve sub-micron navigation resolution.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: November 14, 2006
    Assignee: Credence Systems Corporation
    Inventors: Mark Alan Thompson, Erwan Le Roy, Theodore Lundquist, William B. Thompson, Catherine Kardach
  • Patent number: 7118926
    Abstract: A method for optimizing a seasoning recipe for a dry etch process. The method includes setting a critical value of reproducibility, a main etch recipe, and a preliminary seasoning recipe. A test wafer is then etched using the preliminary seasoning recipe in a dry etch chamber. Next, a main etch process is performed with respect to at least 10 run wafers in the dry etch chamber using the main etch recipe and an end-point detection time for each wafer is determined. An initial dispersion and a standard deviation are then determined using the determined end-point detection times. The critical value of reproducibility is then compared to the initial dispersion. If the initial dispersion is equal to or less than the critical value of reproducibility, the preliminary seasoning recipe is used as the seasoning recipe, otherwise the preliminary seasoning recipe is modified and the process is repeated until an optimal seasoning recipe is determined.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: October 10, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong Cho, Chang-Jin Kang, Kyeong-Koo Chi, Cheol-Kyu Lee, Hye-Jin Jo
  • Patent number: 7115211
    Abstract: A method and system for determining an endpoint in a (near) real-time environment using statistical process control. By utilizing such control, an endpoint of a semiconductor process (e.g., an etch) can be monitored. Monitoring may lead to increased yields by avoiding or reducing error conditions (e.g., under- or over-etching).
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: October 3, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Deana R. Delp
  • Patent number: 7112288
    Abstract: Methods are provided for delineating different layers and interfaces for inspection of a semiconductor wafer, wherein a sectioned portion of a wafer is subjected to a reactive ion etch process before inspection using a scanning electron microscope.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: September 26, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Fred Y. Clark, Andrew L. Vance, David G. Farber
  • Patent number: 7105080
    Abstract: Method for manufacturing a workpiece by a vacuum treatment process includes providing a vacuum treatment system with first second parts in a vacuum chamber. Either a sensor or an adjusting element with first signal connection is mounted on the second part. An electronic unit in the chamber has a reference potential and a second electric signal connection. The first part is connected to a system reference potential. A workpiece goes into the chamber and the method includes operating the second part at a further electric potential different from the system reference potential by at least 12 V. The method includes connecting the first electric signal connection to the second electric signal connection and maintaining the reference connection during operation on the further electric potential by metallically connecting the reference connection to the second part.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: September 12, 2006
    Assignee: OC Oerlikon Balzers AG
    Inventor: Felix Mullis
  • Patent number: 7094613
    Abstract: Embodiments of the invention generally relate to a method for etching in a processing platform (e.g. a cluster tool) wherein robust pre-etch and post-etch data may be obtained in-situ. The method includes the steps of obtaining pre-etched critical dimension (CD) measurements of a feature on a substrate, etching the feature; treating the etched substrate to reduce and/or remove sidewall polymers deposited on the feature during etching, and obtaining post-etched CD measurements. The CD measurements may be utilized to adjust the etch process to improved the accuracy and repeatability of device fabrication.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: August 22, 2006
    Assignee: Applied Materials, Inc.
    Inventors: David Mui, Wei Liu, Hiroki Sasano
  • Patent number: 7084051
    Abstract: A purpose of the invention is to provide a manufacturing method for a semiconductor substrate in which a high quality strained silicon channel can easily be formed without sacrificing the processing efficiency of a wafer and to provide a manufacturing method for a semiconductor device wherein the driving performance of a PMOS transistor, in addition to that of an NMOS transistor, can be improved. The invention provides a manufacturing method for a semiconductor substrate with the steps of: forming a SiGe film on the top surface of a substrate having a silicon monocrystal layer in the (111) or (110) plane direction as the surface layer; introducing buried crystal defects into the above described substrate by carrying out ion implantation and annealing treatment; and forming a semiconductor film on the above described SiGe film.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: August 1, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takashi Ueda
  • Patent number: 7077971
    Abstract: Methods for detecting the endpoint of a photoresist stripping process provide O for reaction with the photoresist for a wafer to be stripped of photoresist. NO is also supplied for reaction with O not reacted with the photoresist. After substantially all the photoresist is stripped from the wafer, the rate of a reaction of O and NO to form NO2 increases, which increases the intensity of emitted light. An operation of detecting this increase in light intensity signals the endpoint of the photoresist stripping process.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: July 18, 2006
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Wenli Collison
  • Patent number: 7074342
    Abstract: A method of manufacturing an optical crystal element of a laser device includes measuring an initial thickness of a crystal substrate formed of YAG or YVO4; introducing a mixture of a fluorine gas and an Ar gas having a ratio of the fluorine gas to the Ar gas in a range of 1:10 to 1:2 into a process chamber holding the crystal substrate; and generating ion beams of the mixture in the process chamber for etching a surface of the crystal substrate for a period time determined from an etching rate depending on the ratio of the mixture and the initial thickness of the crystal substrate. Thickness of the optical crystal element is controlled to a desired thickness. In the method, it is possible to produce the optical crystal element of a microchip laser having functions as a laser medium, a resonator and an etalon.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: July 11, 2006
    Assignee: Shimadzu Corporation
    Inventor: Ryo Tateno
  • Patent number: 7049633
    Abstract: A method of measuring at least one parameter associated with a portion of a sample having formed thereon one or more structures with at least two zones each having an associated zone reflectance property. The method includes the steps of illuminating the zones with broadband light, and measuring at least one reflectance property of light reflected from the at least two zones. The measurement includes a substantial portion of non-specularly scattered light, thereby increasing the quality of the measurement. The method further includes the step of fitting a parameterized model to the measured reflectance property. The parameterized model mixes the zone reflectance properties of the zones to account for partially coherent light interactions between the two zones.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: May 23, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Kenneth C. Johnson, Fred E. Stanke
  • Patent number: 7033518
    Abstract: A method of etching multi-layer films, the method including: (1) etching a plurality of layers according to etching parameters, (2) determining a plurality of optical characteristics each associated with one of the plurality of layers and determined during the etching of the associated one of the plurality of layers, and (3) determining dynamic etch progressions each based on one of the plurality of optical characteristics that is associated with a particular one of the plurality of layers undergoing the etching.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: April 25, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui Ou Yang, Miao-Ju Hsu, Chao-Cheng Chen, Hun-Jan Tao
  • Patent number: 7018553
    Abstract: A method of adjusting plasma processing of a substrate in a plasma reactor having an electrode assembly. The method includes the steps of positioning the substrate in the plasma reactor, creating a plasma in the plasma reactor, monitoring optical emissions emanating from a plurality of different regions of the plasma in a direction substantially parallel to the surface of the substrate during plasma processing of the substrate, and determining an integrated power spectrum for each of the different plasma regions and comparing each of the integrated power spectra to a predetermined value. One aspect of the method includes utilizing an electrode assembly having a plurality of electrode segments and adjusting RF power delivered to the one or more electrode segments based on differences in the integrated power spectra from the predetermined value.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: March 28, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Lianjun Liu, Wayne L. Johnson
  • Patent number: 7014787
    Abstract: This invention relates to a method for etching an organic insulating film used in the production of semiconductor devices. A sample to be etched on which a low dielectric constant organic insulating film is formed is etched by generating a plasma from hydrogen gas and nitrogen gas or ammonia gas, and controlling the gas flow rate and pressure so that the light emission spectral intensity ratio of hydrogen atom and cyan molecule in the plasma comes to a prescribed value. By this method, a low dielectric constant organic insulating film as an insulating film between layers can be etched without using any etch stop layer so that bottom surfaces of trenches and holes for electrical wiring become flat.
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: March 21, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Michinobu Mizumura, Ryooji Fukuyama, Yutaka Ohmoto, Katsuya Watanabe
  • Patent number: 7005305
    Abstract: A technique is provided that may be used to improve optical endpoint detection in a plasma etch process. A semiconductor structure is manufactured that includes at least one electrical device. The technique is adapted for forming a signal layer on or in a wafer, wherein the signal layer comprises a chemical element that causes a characteristic optical emission when coming into contact with an etch plasma. The chemical element does not have a primary influence on the electrical properties of the electrical device. The signal layer is for use in a plasma etch process to detect a plasma etch endpoint if the characteristic optical emission is detected. The signal layer may be patterned and may be incorporated into a stop layer.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gunter Grasshoff, Christoph Schwan, Matthias Schaller
  • Patent number: 7001529
    Abstract: A method for controlling a photoresist etch step in a plasma processing chamber is disclosed. The photoresist etch step being configured to etch back a photoresist layer deposited on a substrate surface to a thinner photoresist layer having predefined photoresist thickness. The method includes etching the photoresist layer using a plasma etch process and detecting interference patterns coming from the photoresist layer. The method further includes terminating the photoresist etch step when an analysis of the interference patterns indicates that the predefined photoresist thickness is achieved, whereby the predefined photoresist thickness is greater than zero.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: February 21, 2006
    Assignee: Lam Research Corporation
    Inventors: Taejoon Han, Xiaoqiang Yao
  • Patent number: 7001530
    Abstract: A method for detecting the end point of plasma etching process by using matrix comprises a step of detecting a beginning matrix including emitting intensities and/or other plasma parameters of at least two different plasma species during beginning etching process. Then, a step of detecting an etching matrix is performed in which the etching matrix includes emitting intensities and/or other plasma parameters of the at least two different plasma species at the etching reaction. An end point matrix is then computed by using the beginning as well as etching matrices and compared to a reference end point matrix to decide whether the end point is reached.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: February 21, 2006
    Assignee: Winbond Electronics Corp.
    Inventor: Szetsen Steven Lee
  • Patent number: 6982043
    Abstract: Disclosed are a system and method for monitoring a patterned photoresist clad-wafer structure undergoing an etch process. The system includes a semiconductor wafer structure comprising a substrate, one or more intermediate layers overlying the substrate, and a first patterned photoresist layer overlying the intermediate layers, the semiconductor wafer structure being etched through one or more openings in the photoresist layer; a wafer-etch photoresist monitoring system programmed to obtain data relating to the photoresist layer as the etch process progresses; a pattern-specific grating aligned with the wafer structure and employed in conjunction with the monitoring system, the grating having at least one of a pitch and a critical dimension identical to the first patterned photoresist layer; and a wafer processing controller operatively connected to the monitoring system and adapted to receive data from the monitoring system in order to determine adjustments to a subsequent wafer clean process.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: January 3, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Bharath Rangarajan, Catherine B. Labelle, Bhanwar Singh, Christopher F. Lyons
  • Patent number: 6982175
    Abstract: An improved method for determining endpoint of a time division multiplexed process by monitoring an identified region of a spectral emission of the process at a characteristic process frequency. The region is identified based upon the expected emission spectra of materials used during the time division multiplexed process. The characteristic process frequency is determined based upon the duration of the steps in the time division multiplexed process. Changes in the magnitude of the monitored spectra indicate the endpoint of processes in the time division multiplexed process and transitions between layers of materials.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: January 3, 2006
    Assignee: Unaxis USA Inc.
    Inventors: David Johnson, Russell Westerman
  • Patent number: 6967109
    Abstract: A method and apparatus for measuring a potential difference for plasma processing with a plasma processing apparatus that processes a sample by introducing a gas into a vacuum chamber and generates plasma. A light-emitting portion is formed on a measurement-use sample of the sample to be processed and a current flows into the light-emitting portion according to a potential difference that has been generated across the light-emitting portion. An intensity of light emitted from the light-emitting portion according to a predetermined light intensity is measured and a potential difference on the measurement-use sample according to a predetermined light intensity is measured.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: November 22, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Tatehito Usui, Tetsuo Ono, Ryoji Nishio, Kazue Takahashi, Nobuyuki Mise
  • Patent number: 6939433
    Abstract: It is an object of the invention to provide a vacuum processing device and a vacuum processing system capable of improving the accuracy for the function of estimating the result of processing of samples based on the monitored values for the processing state of the samples, improving the forecasting accuracy and thus improving the yield of products. The system comprises a function of monitoring processing parameters for samples, a function of estimating the processing characteristics of the samples based on the monitored parameters, a function of conducting communication with a measuring device for measuring the processing state of the samples after processing and a function of updating the measuring conditions by the measuring device in accordance with the processing characteristics of the samples estimated from the information by monitoring.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: September 6, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shoji Ikuhara, Hideyuki Yamamoto
  • Patent number: 6939811
    Abstract: An apparatus and method for etching a feature in a wafer with improved depth control and reproducibility is described. The feature is etched at a first etching rate and then at a second etching rate, which is slower than the first etching rate. An optical end point device is used to determine the etching depth and etching is stopped so that the feature has the desired depth. Two different etching rates provides high throughput with good depth control and reproducibility. The apparatus includes an etching tool in which a chuck holds the wafer to be etched. An optical end point device is positioned to measure the feature etch depth. An electronic controller communicates with the optical end point device and the etching tool to control the tool to reduce the etch rate part way through etching the feature and to stop the etching tool, so that that the feature is etched to the desired depth.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: September 6, 2005
    Assignee: Lam Research Corporation
    Inventors: Tom A. Kamp, Alan J. Miller, Vijayakumar C. Venugopal
  • Patent number: 6934032
    Abstract: A system and methodology for monitoring and/or controlling a semiconductor fabrication process is disclosed. Scatterometry and/or ellipsometry based techniques can be employed to facilitate providing measurement signals during a damascene phase of the fabrication process. The thickness of layers etched away during the process can be monitored and one or more fabrication components and/or operating parameters associated with the fabrication component(s) can be adjusted in response to the measurements to achieve desired results, such as to mitigate the formation of copper oxide during etching of a copper layer, for example.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: August 23, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Steven C. Avanzino, Bharath Rangarajan, Bhanwar Singh
  • Patent number: 6911157
    Abstract: At least one control parameter such as power supplied to a plasma, process pressure, gas flow rate, and radio frequency bias power to a wafer is changed for an extremely short time as compared with an entire plasma processing time, to the extent that such a change does not affect the result of plasma processing on the wafer, to monitor a temporal change of a plasma state which occurs at the time of changing. A signal resulting from the monitoring method is used to control or diagnose the plasma processing, thereby making it possible to accomplish miniature etching works, high quality deposition, surface processing.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: June 28, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Manabu Edamura, Hideyuki Yamamoto, Kazuyuki Ikenaga
  • Patent number: 6908567
    Abstract: A method for removing a particle from a substrate includes forming a layer of a fluid on a surface of an optical element and positioning the optical element in proximity to a location of the particle on the substrate. A pulse of electromagnetic radiation is directed to impinge on the surface of the optical element so as to induce explosive evaporation of the fluid thereon, whereby a pressure wave is emitted toward the location of the particle.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: June 21, 2005
    Assignee: Applied Materials Israel, Ltd.
    Inventor: Yoram Uziel
  • Patent number: 6908566
    Abstract: In a local dry etching method, position-thickness data of a semiconductor wafer is previously obtained by measuring the wafer surface, components of position-thickness data shorter than a predetermined spatial wavelength are cut off by filtering and nozzle-wafer relative speed for planarizing the surface is calculated using the filtered data.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: June 21, 2005
    Assignee: Speedfam Co., Ltd.
    Inventors: Michihiko Yanagisawa, Kazuyuki Tsuruoka
  • Patent number: 6906895
    Abstract: A method of marking a sintered body includes the step of preparing the sintered body by sintering a mixture of first and second types of powder particles. The first type of powder particles is made of a first material and the second type of powder particles is made of a second material that has a different etch susceptibility from the first material. The method further includes the step of writing ID information on the surface of the sintered body by forming a first concave region to a depth of at least about 10 nm under the surface of the sintered body and a second concave region under the first concave region, respectively. The first concave region is formed by etching away both the first and second types of powder particles, while the second concave region is formed by etching away only the first type of powder particles.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: June 14, 2005
    Assignee: Neomax Co., Ltd.
    Inventor: Taisuke Hirooka
  • Patent number: 6905624
    Abstract: A method of etching a substrate includes placing a substrate in a process zone. The substrate has a material with a thickness, and the material has exposed regions between features of a patterned mask. An etchant gas is introduced into the process zone. The etchant gas is energized to etch the material. An endpoint of etching the material of the substrate is determined by (i) reflecting a light beam from the substrate, the light beam having a wavelength selected to have a coherence length in the substrate of from about 1.5 to about 4 times the thickness of the material, and (ii) detecting the reflected light beam to determine an endpoint of the substrate etching process. Additionally, the wavelength of the light beam can be selected to maximize an absorption differential that is a difference between the absorption of the light beam in the patterned mask and the absorption of the light beam in the material.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: June 14, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Coriolan I. Frum, Zhifeng Sui, Hongqing Shan
  • Patent number: 6890771
    Abstract: A plasma processing method using a spectroscopic processing unit. The method includes separating spectrally plasma radiation emitted from a vacuum process chamber into component spectra, converting the component spectra into a time series of analogue electric signals composed of different wavelength components at a predetermined period, adding together analogue signals of the different wavelength components, converting a plurality of added signals into digital quantities on a predetermined-period basis, digitally adding together the plurality of added and converted signals a plural number of times on a plural-signal basis, determining discriminatively an end point of a predetermined plasma process on the basis of a signal resulting from the digital addition, and terminating the predetermined plasma process.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: May 10, 2005
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Tetsunori Kaji, Shizuaki Kimura, Tatehito Usui, Takashi Fujii
  • Patent number: 6887396
    Abstract: A method is provided for making a micromirror unit which includes a frame, a mirror forming base, and bridges connecting the frame to the mirror forming base. The method includes the following steps. First, a first mask pattern is formed on a substrate for masking portions of the substrate which are processed into the frame and the mirror forming base. Then, a second mask pattern is formed on the substrate for masking portions of the substrate which are processed into the bridges. Then, the substrate is subjected to a first etching process with the first and the second mask patterns present as masking means. Then, the second mask pattern is removed selectively. Then, the substrate is subjected to a second etching process with the first mask pattern present as masking means. Finally, the first mask pattern is removed.
    Type: Grant
    Filed: September 13, 2001
    Date of Patent: May 3, 2005
    Assignees: Fijitsu Limited, Fujitsu Media Devices Limited
    Inventors: Osamu Tsuboi, Satoshi Ueda, Yoshihiro Mizuno, Ippei Sawaki, Fumio Yamagishi
  • Patent number: 6885452
    Abstract: Provided is a novel chamber effluent monitoring system. The system comprises a chamber having an exhaust line connected thereto. The exhaust line includes a sample region, wherein substantially all of a chamber effluent also passes through the sample region. The system further comprises an absorption spectroscopy measurement system for detecting a gas phase molecular species. The measurement system comprises a light source and a main detector in optical communication with the sample region through one or more light transmissive window. The light source directs a light beam into the sample region through one of the one or more light transmissive window. The light beam passes through the sample region and exits the sample region through one of the one or more light transmissive window. The main detector responds to the light beam exiting the sample region.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: April 26, 2005
    Assignee: American Air Liquide, Inc.
    Inventors: James McAndrew, Hwa-Chi Wang, Benjamin J. Jurcik, Jr.
  • Patent number: 6884362
    Abstract: A method of preparing a TEM sample. A focused ion beam is used to deposit a mask on the material to be sampled. Reactive ion etching removes material not protected by the mask, leaving a wall thin enough to be imaged by TEM.
    Type: Grant
    Filed: February 18, 2003
    Date of Patent: April 26, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Lancy Tsung, Adolfo Anciso
  • Patent number: 6881352
    Abstract: A plasma processing control system and method which can suppress influences caused by disturbances. The control system includes a plasma processor for performing processing operation over a sample accommodated within a vacuum processing chamber, a sensor for monitoring process parameters during processing operation of the plasma processor, a processed-result estimation model for estimating a processed result on the basis of a monitored output of the sensor and a preset processed-result prediction equation, and an optimum recipe calculation model for calculating correction values of processing conditions on the basis of an estimated result of the processed-result estimation model in such a manner that the processed result becomes a target value. The plasma processor is controlled on the basis of a recipe generated by the optimum recipe calculation model.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: April 19, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Akira Kagoshima, Hideyuki Yamamoto, Shoji Ikuhara, Toshio Masuda, Hiroyuki Kitsunai, Junichi Tanaka, Natsuyo Morioka, Kenji Tamaki
  • Patent number: 6875701
    Abstract: To remove nanotopography (unevenness of wavelength: 0.2 mm through 20 mm, wave height: 1 through several hundreds nm) which has already been produced on a surface of a semiconductor wafer which has been regarded as impossible to remove conventionally, a half value width of an etching profile of activated species gas is set to fall in a range equal to or smaller than a wavelength a of nanotopography and equal to or larger than a half thereof. Based on previously measured data of nanotopography, moving speed and locus of injected activated species gas along a surface of a semiconductor wafer are calculated and controlled.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: April 5, 2005
    Assignee: Speedfam Co., Ltd.
    Inventors: Michihiko Yanagisawa, Tadayoshi Okuya
  • Patent number: 6861362
    Abstract: A method for enhancing the fabrication process of a self-aligned contact (SAC) structure is provided. The method includes forming a transistor structure on a surface of a substrate. The method also includes forming a dielectric layer directly over the surface of the substrate without forming an etch stop layer on the surface of the substrate. Also included in the method is plasma etching a contact hole through the dielectric layer in a plasma processing chamber. The method also includes monitoring a bias compensation voltage of the plasma processing chamber during the plasma etching process and discontinuing the plasma etching process upon detecting an endpoint signaling change in the bias compensation voltage.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: March 1, 2005
    Assignee: Lam Research Corporation
    Inventors: Jun-Cheng Ko, Young-Tong Tsai
  • Patent number: 6852242
    Abstract: A substrate processing apparatus has a chamber with a substrate transport to transport a substrate onto a substrate support in the chamber, a gas supply to provide a gas in the chamber, a gas energizer to energize the gas, and a gas exhaust to exhaust the gas. A controller operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set etching process conditions in the chamber to etch a plurality of substrates, thereby depositing etchant residues on surfaces in the chamber. The controller also operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set cleaning process conditions in the chamber to clean the etchant residues. The cleaning process conditions comprise a volumetric flow ratio of O2 to CF4 of from about 1:1 to about 1:40.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: February 8, 2005
    Inventors: Zhi-Wen Sun, Anbei Jiang, Tuo-Chuan Huang
  • Patent number: 6849859
    Abstract: The figure of a substrate is very precisely measured and a figured-correcting layer is provided on the substrate. The thickness of the figure-correcting layer is locally measured and compared to the first measurement. The local measurement of the figure-correcting layer is accomplished through a variety of methods, including interferometry and fluorescence or ultrasound measurements. Adjustments in the thickness of the figure-correcting layer are made until the top of the figure-correcting layer matches a desired figure specification.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: February 1, 2005
    Assignee: Euv Limited Liability Corporation
    Inventors: James A. Folta, Eberhard Spiller
  • Patent number: 6838010
    Abstract: In a system and a method for controlling critical dimensions of features to be formed on a substrate, a measurement device is coupled to an etch tool to form a feedback loop to control the critical dimensions on a wafer basis instead of a lot basis. In a further embodiment, the etch tool is in communication with a control unit that allows controlling of the etch tool and/or of the photolithography tool on the basis of an etch model. Thus, variations within a lot may be compensated by a software implementation of the etch model. The control unit may be implemented in the etch tool or an external device.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: January 4, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gunter Grasshoff, Carsten Hartig
  • Publication number: 20040262260
    Abstract: A method of etching multi-layer films, the method including: (1) etching a plurality of layers according to etching parameters, (2) determining a plurality of optical characteristics each associated with one of the plurality of layers and determined during the etching of the associated one of the plurality of layers, and (3) determining dynamic etch progressions each based on one of the plurality of optical characteristics that is associated with a particular one of the plurality of layers undergoing the etching.
    Type: Application
    Filed: June 24, 2003
    Publication date: December 30, 2004
    Inventors: Hui Ou Yang, Miao-Ju Hsu, Chao-Cheng Chen, Hun-Jan Tao
  • Patent number: 6830939
    Abstract: The present invention is directed to a system, method and software product for creating a predictive model of the endpoint of etch processes using Partial Least Squares Discriminant Analysis (PLS-DA). Calibration data is collected from a calibration wafer using optical emission spectroscopy (OES). The data may be non-periodic or periodic with time and periodic signals may be sampled synchronously or non-synchronously. The OES data is arranged in a spectra matrix X having one row for each data sample. The OES data is processed depending upon whether or not it is synchronous. Synchronous data is arranged in an unfolded spectra matrix X having one row for each period of data samples. A previewed endpoint signal is plotted using wavelengths known to exhibit good endpoint characteristics. Regions of stable intensity values in the endpoint plot that are associated with either the etch region or the post-etch region are identified by sample number.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: December 14, 2004
    Assignee: Verity Instruments, Inc.
    Inventors: Kenneth C. Harvey, Jimmy W. Hosch, Neal B. Gallagher, Barry M. Wise
  • Patent number: 6828249
    Abstract: A method for monitoring an etch process of a substrate that includes receiving a first signal having a first wavelength, deriving a second signal based on the first signal and combining the first signal with the second signal to produce a composite signal having a composite wavelength less than the first wavelength. The method further includes identifying one or more inflection points of the composite signal and determining an etch rate of an etch process by evaluating the inflection points and elapsed time between the inflection points.
    Type: Grant
    Filed: March 20, 2002
    Date of Patent: December 7, 2004
    Assignee: Infineon Technologies Richmond, LP.
    Inventors: Catherine Odor, Richard Chapman
  • Publication number: 20040238489
    Abstract: The present invention provides a method and an apparatus for establishing endpoint during an alternating cyclical etch process or time division multiplexed process. A substrate is placed within a plasma chamber and subjected to an alternating cyclical process having an etching step and a deposition step. A variation in plasma emission intensity is monitored using known optical emission spectrometry techniques. An amplitude information is extracted from a complex waveform of the plasma emission intensity using an envelope follower algorithm. The alternating cyclical process is discontinued when endpoint is reached at a time that is based on the monitoring step.
    Type: Application
    Filed: May 6, 2004
    Publication date: December 2, 2004
    Inventors: David Johnson, Russell Westerman
  • Patent number: 6824813
    Abstract: A substrate processing apparatus comprises a chamber 28 capable of processing a substrate 20. A radiation source 58 provides radiation that is at least partially reflected from the substrate in the chamber. A radiation detector 62 is provided to detect the reflected radiation and generate a signal. A controller 100 is adapted to receive the signal and determine a property of the substrate 20 in situ during processing, before an onset of during or after processing of a material on the substrate 20.
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: November 30, 2004
    Assignee: Applied Materials Inc
    Inventors: Thorsten B. Lill, Michael N. Grimbergen, Jitske Trevor, Wei-Nan Jiang, Jeffrey Chinn
  • Patent number: 6824644
    Abstract: A focused particle beam system, according to one embodiment of the invention, precisely shapes a pole-tip assembly formed by a multi-layer device having a first layer with a first structural element, a second layer with a second structural element, and a shielding layer with a shielding element, the shielding element being located between the first layer and the second layer. The system images a selected portion of the multi-layer device to locate the shielding element and thereby avoids irradiating the first structural element. Based on the location of the shielding element, the system images and mills the second structural element without irradiating the first structural element. In this manner, the focused particle beam system mills the second structural element to produce a desired pole-Up configuration. By producing a desired pole-tip configuration, these methods and apparatus produce a recording transducer capable of high storage density.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: November 30, 2004
    Assignee: FEI Company
    Inventors: Gregory J. Athas, Russel Mello