Etching Silicon Containing Substrate Patents (Class 216/79)
  • Patent number: 6881644
    Abstract: A method for treating a film of material, which can be defined on a substrate, e.g., silicon. The method includes providing a substrate comprising a cleaved surface, which had a porous silicon layer thereon. The substrate may have a distribution of hydrogen bearing particles defined from the cleaved surface to a region underlying said cleaved surface. The method also includes increasing a temperature of the cleaved surface to greater than about 1,000 Degrees Celsius while maintaining the cleaved surface in a etchant bearing environment to reduce a surface roughness value by about fifty percent and greater. Preferably, the value can be reduced by about eighty or ninety percent and greater, depending upon the embodiment.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: April 19, 2005
    Assignee: Silicon Genesis Corporation
    Inventors: Igor J. Malik, Sien G. Kang
  • Patent number: 6880561
    Abstract: A process for removing residue from the interior of a semiconductor process chamber using molecular fluorine gas (F2) as the principal precursor reagent. In one embodiment a portion of the molecular fluorine is decomposed in a plasma to produce atomic fluorine, and the resulting mixture of atomic fluorine and molecular fluorine is supplied to the chamber whose interior is to be cleaned. In another embodiment the molecular fluorine gas cleans the semiconductor process chamber without any plasma excitation. Molecular fluorine gas has the advantage of not being a global warming gas, unlike fluorine-containing gas compounds conventionally used for chamber cleaning such as NF3, C2F6 and SF6.
    Type: Grant
    Filed: May 5, 2003
    Date of Patent: April 19, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Haruhiro Harry Goto, William R. Harshbarger, Quanyuan Shang, Kam S. Law
  • Patent number: 6878300
    Abstract: In one embodiment, the invention includes a method of removing at least a portion of a material from a substrate. The method includes providing a substrate in a reaction chamber, the substrate having a material supported thereover, and first etching the material while the substrate is in the reaction chamber. The method also includes, after the first etching, cleaning a component from at least one sidewall of the reaction chamber while the substrate remains therein; the component comprising a species that is present in the material. The cleaning includes exposing the sidewall and substrate to conditions which substantially selectively remove the component from the sidewall while not removing the material from the substrate, and not etching any other materials supported by the substrate. After the cleaning, the method includes second etching the material while the substrate is in the reaction chamber.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: April 12, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen, III
  • Patent number: 6877517
    Abstract: A method for forming an etched silicon layer. There is first provided a first substrate having formed thereover a first silicon layer. There is then etched the first silicon layer to form an etched first silicon layer while employing a plasma etch method employing a plasma reactor chamber in conjunction with a plasma etchant gas composition which upon plasma activation provides at least one of an active bromine containing etchant species and an active chlorine containing etchant species.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: April 12, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kwok Keung Paul Ho, Xue Chun Dai
  • Patent number: 6872322
    Abstract: A process for etching multiple layers on a substrate 25 in an etching chamber 30 and cleaning a multilayer etchant residue formed on the surfaces of the walls 45 and components of the etching chamber 30. In multiple etching steps, process gas comprising different compositions of etchant gas is used to etch layers on the substrate 25 thereby depositing a compositionally variant etchant residue inside the chamber 30. In one cleaning step, a first cleaning gas is added to the process gas to clean a first residue or to suppress deposition of the first residue onto the chamber surfaces. In a second cleaning step, another residue composition is cleaned off the chamber surfaces using a second cleaning gas composition.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: March 29, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Waiching Chow, Raney Williams, Thorsten B. Lill, Arthur Y. Chen
  • Patent number: 6869542
    Abstract: Form an opening in a dielectric layer formed on a substrate comprises depositing a hard mask composed of an etch resistant material over a dielectric layer, e.g. a silicon oxide. Use a photoresist mask to expose the hard mask. Use a fluorocarbon plasma to etch through the window to form an opening through the hard mask. Then etch through the hard mask opening to pattern the dielectric layer. The hard mask comprises an RCH/RCHX material with the structural formula R:C:H or R:C:H:X, where R is selected from Si, Ge, B, Sn, Fe, Ti and X is selected from O, N, S and F. The plasma etching process employs a) a gas mixture comprising N2; fluorocarbon (CHF3, C4F8, C4F6, CF4, CH2F2, CH3F); an oxidizer (O2, CO2), and a noble diluent (Ar, He); b) a high DC bias (500-3000 Volts bias on the wafer); 3) medium pressure (20-100 mT.; and d) moderate temperatures (?20 to 60°).
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Sadanand V. Desphande, David Dobuzinsky, Arpan P. Mahorowala, Tina Wagner, Richard Wise
  • Patent number: 6866790
    Abstract: A method of forming a fluid ejecting device such as an ink jet printing device that includes forming a plurality of fluid drop generators on a first surface of a silicon substrate, forming a partial fluid feed slot in the silicon substrate by deep reactive ion etching, and forming a fluid feed slot by wet etching the partial fluid feed slot.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: March 15, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Donald J Milligan, Timothy L. Weber
  • Patent number: 6863833
    Abstract: The invention provides microfabricated silicon substrates and devices having extremely small apertures (termed “nanoapertures”) and methods for producing such nanoapertures. The devices have a nanoaperture (which may have a diameter ranging from about a few millimeters to as small as a few nm) across a substrate effective to connect two regions separated by the substrate. The devices are suitable for the formation of lipid bilayer membranes across the apertures, and for use in devices such as biosensors. Substrates and devices may include multiple nanoapertures, which may each support a lipid bilayer membrane, allowing fault tolerant devices such as fault-tolerant biosensors, and allowing devices able to sense more than one target molecule.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: March 8, 2005
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: David M. Bloom, Mark C. Peterman, Jonathan M. Ziebarth
  • Patent number: 6849193
    Abstract: An oxide etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention uses a heavy perfluorocarbon, for example, hexafluorobutadiene (C4F6) or hexafluorobenzene (C6F6). The fluorocarbon together with a substantial amount of a noble gas such as argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. A more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner. Oxygen or nitrogen may be added to counteract the polymerization. The same chemistry can be used in a magnetically enhanced reactive ion etcher (MERIE) or with a remote plasma source.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: February 1, 2005
    Inventors: Hoiman Hung, Joseph P Caulfield, Hongqing Shan, Ruiping Wang, Gerald Zheyao Yin
  • Publication number: 20040262264
    Abstract: A photomask is formed by depositing an opaque layer on a transparent substrate. A resist is formed on the opaque layer and selectively patterned to expose the portions of the opaque layer that are to be etched out. During the dry etching step, the photomask is exposed to an etchant gas mixture which exhibits a selectivity equal to or higher than 1.2:1 between the opaque layer and the resist layer. Due to the selectivity of the gas mixture, a thinner resist film can be used, thereby increasing resolution and accuracy of the opaque layer pattern. Also, due to reduced susceptibility to both a macro-loading effect and a pattern density effect, overetching of the resist and underetching of the opaque layer are significantly reduced, thereby achieving improved etching uniformity and consequently improved CD uniformity.
    Type: Application
    Filed: October 28, 2003
    Publication date: December 30, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shaun B. Crawford, Timothy J. Dalton, Thomas B. Faure, Cuc K. Huynh, Michelle L. Steen, Thomas M. Wagner
  • Patent number: 6835663
    Abstract: A process of using a-C:H layer as a hardmask material with tunable etch resistivity in a RIE process that alleviates the addition of a layer forming gas to the etchant when making a semiconductor device, comprising: a) providing a semiconductor substrate; b) forming a hardmask of amorphous carbon-hydrogen (a-C:H) layer by plasma enhancement over the semiconductor substrate; c) forming an opening in the hardmask layer to form an exposed surface portion of the hardmask layer; and d) etching the exposed surface portion of the hardmask layer without the addition of a layer forming gas using RIE to form a trench feature with sufficient masking and side wall protection.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: December 28, 2004
    Assignee: Infineon Technologies AG
    Inventor: Matthias Lipinski
  • Patent number: 6835317
    Abstract: A slider prevent the phenomenon of sticking and reduce entrapping of foreign particles between sliding surfaces. A method for making micro-protrusions or micro-cavities on a surface of a substrate comprises placing the substrate in a process chamber, supporting a mask member having a micro shielding surface independent of and in front of the substrate, and irradiating fast atomic beams onto the surface of the substrate through the mask member.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: December 28, 2004
    Assignees: Ebara Corporation
    Inventors: Yotaro Hatamura, Masayuki Nakao
  • Patent number: 6828251
    Abstract: A method for plasma etching is disclosed with improved etching selectivity for a nitride containing DARC and a low-k dielectric layer. Plasma chemistry is controlled by adjusting a nitrogen to oxygen ratio to achieve improved etching selectivity in both nitride containing and low-k dielectric layers. Nitrogen to oxygen ratios are adjusted to control etching of for example, a DARC nitride containing layer, and Carbon to fluorine ratios are additionally adjusted to control etching in a low-k dielectric layer.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: December 7, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Nien Su, Jen-Cheng Liu, Li-Chih Chaio
  • Patent number: 6827868
    Abstract: A method of forming a fuse structure in which passivating material over the fuse has a controlled, substantially uniform thickness that is provided after C4 metallurgy formation. A laser fuse deletion process for the fuse formed by this method is also disclosed.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: December 7, 2004
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, William T. Motsiff
  • Patent number: 6824655
    Abstract: A micro-machining process that includes etching a substrate having copper overlying a dielectric layer to a charged particle beam in the presence of an etch assisting agent. The etch assisting agent is selected from the group consisting of ammonia, acetic acid, thiolacetic acid, and combinations thereof.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: November 30, 2004
    Assignee: Credence Systems Corporation
    Inventors: Vladimir V. Makarov, Javier Fernandez Ruiz, Tzong-Tsong Miau
  • Patent number: 6824697
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: November 30, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6821450
    Abstract: A method of forming an opening through a substrate having a first side and a second side opposite the first side includes forming a trench in the first side of the substrate, forming a mask layer within the trench, forming at least one hole in the mask layer, filling the trench and the at least one hole, forming a first portion of the opening in the substrate from the second side of the substrate to the mask layer, and forming a second portion of the opening in the substrate from the second side of the substrate through the at least one hole in the mask layer to the first side of the substrate.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: November 23, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Martha A. Truninger, Charles C. Haluzak, Michael Monroe
  • Patent number: 6821447
    Abstract: A method of surface treatment of friction members includes providing a friction member made of PMMC material. A transfer layer is formed on the active surface of the friction member of removing the top layer of the matrix material to expose a surface with the embedded reinforcing particles.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: November 23, 2004
    Assignees: Norsk Hydro ASA, Volvo Car Corporation
    Inventors: Torkil Storstein, Claes Kuylenstierna, Jouko Kalmi
  • Publication number: 20040222190
    Abstract: In a plasma processing method, a silicon layer of an object to be processed is etched by using a plasma of a processing gas introduced into an airtight processing chamber through a patterned mask. The processing gas contains a gaseous mixture of HBr, O2 and SiF4 and, additionally, one or both of SF6 gas and NF3 gas; and a gas containing C and F is further added to the processing gas.
    Type: Application
    Filed: March 31, 2004
    Publication date: November 11, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Katsumi Horiguchi, Kenji Yamamoto, Kiyohito Ito, Keiichi Kanno
  • Patent number: 6811853
    Abstract: A method for patterning different types of surface features on a semiconductor substrate (e.g. metal pads, etched pits and grooves) where the features are accurately located by a single mask. First, a dielectric layer is formed on the substrate. Next, an etch-resistant metal layer is formed on the dielectric and patterned according to a mask. Then, a patterned resist mask (e.g. PMMA) is formed on the patterned metal so that areas of the dielectric are exposed. The resist mask has edges that lie on top of the patterned metal layer. Therefore, the exposed dielectric areas are bounded by patterned metal. Then, the dielectric layer is etched using a directional dry etch to expose the underlying semiconductor substrate. Then, the semiconductor substrate is etched. The dielectric layer functions as a mask in the substrate etching step. Since the metal pattern determines the areas of the substrate that are etched, all the features are located according to the original mask that defined the metal pattern.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: November 2, 2004
    Assignee: Shipley Company, L.L.C.
    Inventors: David W. Sherrer, Gregory A. TenEyck
  • Patent number: 6810899
    Abstract: The present invention relates to gas separation membranes including a metal-based layer having sub-micron scale thicknesses. The metal-based layer can be a palladium alloy supported by ceramic layers such as a silicon oxide layer and a silicon nitride layer. By using MEMS, a series of perforations (holes) can be patterned to allow chemical components to access both sides of the metal-based layer. Heaters and temperature sensing devices can also be patterned on the membrane. The present invention also relates to a portable power generation system at a chemical microreactor comprising the gas separation membrane. The invention is also directed to a method for fabricating a gas separation membrane. Due to the ability to make chemical microreactors of very small sizes, a series of reactors can be used in combination on a silicon surface to produce an integrated gas membrane device.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: November 2, 2004
    Assignee: Massachusetts Institute of Technology
    Inventors: Aleksander J. Franz, Klavs F. Jensen, Martin A. Schmidt, Samara Firebaugh
  • Patent number: 6808606
    Abstract: This invention relates to a method of making a window (e.g., vehicle windshield, architectural window, etc.), and the resulting window product. At least one glass substrate of the window is ion beam treated and/or milled prior to application of a coating (e.g., sputter coated coating) over the treated/milled substrate surface and/or prior to heat treatment. As a result, defects in the resulting window and/or haze may be reduced. The ion beam used in certain embodiments may be diffused. In certain embodiments, the ion beam treating and/or milling is carried out using a fluorine (F) inclusive gas(es) and/or argon/oxygen gas(es) at the ion source(s). In certain optional embodiments, F may be subimplanted into to treated/milled glass surface for the purpose of reducing Na migration to the glass surface during heat treatment or thereafter, thereby enabling corrosion and/or stains to be reduced for long periods of time.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: October 26, 2004
    Assignee: Guardian Industries Corp.
    Inventors: Scott V. Thomsen, Rudolph Hugo Petrmichl, Vijayen S. Veerasamy, Anthony V. Longobardo, Henry A. Luten, David R. Hall, Jr.
  • Patent number: 6800213
    Abstract: An oxide etching recipe including a heavy hydrogen-free fluorocarbon having F/C ratios less than 2, preferably C4F6, an oxygen-containing gas such as O2 or CO, a lighter fluorocarbon or hydrofluorocarbon, and a noble diluent gas such as Ar or Xe. The amounts of the first three gases are chosen such that the ratio (F—H)/(C—O) is at least 1.5 and no more than 2. Alternatively, the gas mixture may include the heavy fluorocarbon, carbon tetrafluoride, and the diluent with the ratio of the first two chosen such the ratio F/C is between 1.5 and 2.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: October 5, 2004
    Inventors: Ji Ding, Hidehiro Kojiri, Yoshio Ishikawa, Keiji Horioka, Ruiping Wang, Robert W. Wu, Hoiman (Raymond) Hung
  • Patent number: 6800210
    Abstract: An etching method, such as for forming a micromechanical device, is disclosed. One embodiment of the method is for releasing a micromechanical structure, comprising, providing a substrate; providing a sacrificial layer directly or indirectly on the substrate; providing one or more micromechanical structural layers on the sacrificial layer; performing a first etch to remove a portion of the sacrificial layer, the first etch comprising providing an etchant gas and energizing the etchant gas so as to allow the etchant gas to physically, or chemically and physically, remove the portion of the sacrificial layer; performing a second etch to remove additional sacrificial material in the sacrificial layer, the second etch comprising providing a gas that chemically but not physically etches the additional sacrificial material.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: October 5, 2004
    Assignee: Reflectivity, Inc.
    Inventors: Satyadev R. Patel, Andrew G. Huibers, Gregory P. Schaadt, Peter J. Heureux
  • Patent number: 6797189
    Abstract: A plasma etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. A primary fluorine-containing gas, preferably hexafluorobutadiene (C4F6), is combined with a significantly larger amount of the diluent gas xenon (Xe) enhance nitride selectivity without the occurrence of etch stop. The chemistry is also useful for etching oxides in which holes and corners have already been formed, for which the use of xenon also reduces faceting of the oxide. For this use, the relative amount of xenon need not be so high. The invention may be used with related heavy fluorocarbons and other fluorine-based etching gases.
    Type: Grant
    Filed: March 25, 1999
    Date of Patent: September 28, 2004
    Inventors: Hoiman (Raymond) Hung, Joseph P. Caulfield, Hongqing Shan, Michael Rice, Kenneth S Collins, Chunshi Cui
  • Patent number: 6797188
    Abstract: A method of etching a silicon-containing material in a substrate comprises placing the substrate in a process chamber and exposing the substrate to an energized gas comprising fluorine-containing gas, chlorine-containing gas and sidewall-passivation gas. The silicon-containing material on the substrate comprises regions having different compositions, and the volumetric flow ratio of the fluorine-containing gas, chlorine-containing gas, and sidewall-passivation gas is selected to etch the compositionally different regions at substantially similar etch rates.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: September 28, 2004
    Inventors: Meihua Shen, Wei-nan Jiang, Oranna Yauw, Jeffrey Chinn
  • Patent number: 6793835
    Abstract: An integrated in situ etch process performed in a multichamber substrate processing system having first and second etching chambers. The process includes transferring a substrate having formed thereon in a downward direction a patterned photoresist mask, a dielectric layer, a stop layer and a feature in the substrate to be contacted into the first etching chamber to etch the dielectric layer. The substrate is then transferred from the first etching chamber to the second etching chamber under vacuum conditions and, in the second etching chamber, is exposed to an oxygen plasma or similar environment to strip away the photoresist mask deposited over the substrate. After the photoresist mask is stripped, the stop layer is etched through to the feature to be contacted in either the second or a third etching chamber of said multichamber substrate processing system. All three etching steps are performed in a system level in situ process so that the substrate is not exposed to an ambient between steps.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: September 21, 2004
    Inventors: Lee Luo, Claes H. Bjorkman, Brian Sy Yuan Shieh, Gerald Zheyao Yin
  • Patent number: 6790376
    Abstract: In general, the present invention is directed to methods of using weight or mass measurements to control various semiconductor manufacturing processes, and systems for accomplishing same. One illustrative method comprises providing a substrate, performing a deposition process to form a process layer above the substrate, determining a weight or mass of the process layer formed above the substrate, and controlling at least one parameter of the deposition process based upon the determined weight or mass of the process layer. One illustrative system in accordance with the present invention comprises a deposition tool for performing a deposition process to form a process layer above a substrate, a pressure sensor in contact with the substrate for sensing a pressure induced as a result of the process layer formed above the substrate, and a controller for controlling at least one parameter of the deposition process based upon the sensed pressure.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: September 14, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard J. Markle, Robert J. Chong
  • Patent number: 6790372
    Abstract: A microneedle array module is disclosed comprising a multiplicity of microneedles affixed to and protruding outwardly from a front surface of a substrate to form the array, each microneedle of the array having a hollow section which extends through its center to an opening in the tip thereof. A method of fabricating the microneedle array module is also disclosed comprising the steps of: providing etch resistant mask layers to one and another opposite surfaces of a substrate to predetermined thicknesses; patterning the etch resistant mask layer of the one surface for outer dimensions of the microneedles of the array; patterning the etch resistant mask layer of the other surface for inner dimensions of the microneedles of the array; etching unmasked portions of the substrate from one and the other surfaces to first and second predetermined depths, respectively; and removing the mask layers from the one and the other surfaces.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: September 14, 2004
    Assignee: Cleveland Clinic Foundation
    Inventors: Shuvo Roy, Aaron J. Fleischman
  • Patent number: 6790374
    Abstract: A method for forming an etched silicon layer. There is first provided a first substrate having formed thereover a first silicon layer. There is then etched the first silicon layer to form an etched first silicon layer while employing a plasma etch method employing a plasma reactor chamber in conjunction with a plasma etchant gas composition which upon plasma activation provides at least one of an active bromine containing etchant species and an active chlorine containing etchant species.
    Type: Grant
    Filed: November 18, 1999
    Date of Patent: September 14, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kwok Keung Paul Ho, Xuechun Dai
  • Patent number: 6787054
    Abstract: A process for etching a substrate and removing etch residue deposited on the surfaces in the etching chamber has two stages. In the first stage, an energized first process gas is provided in the chamber, and in the second stage, an energized second process gas is provided in the chamber. The energized first process gas comprises SF6 and Ar, the volumetric flow ratio of SF6 to other components of the first process gas being from about 5:1 to about 1:10. The energized second process gas comprises CF4 and Ar, the volumetric flow ratio of CF4 to other components of the second process gas being from about 1:0 to about 1:10.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: September 7, 2004
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Patent number: 6787053
    Abstract: The first chamber cleaning gas and the first silicon-containing film-etching gas of the present invention comprise at least one compound selected from the group consisting of FCOF, CF3OCOF and CF3OCF2OCOF, and O2 in the specific amount, and optionally other gases. The second chamber cleaning gas and the second silicon-containing film-etching gas comprise CF3COF, C3F7COF or CF2(COF)2 and O2 in specific amounts, and optionally may comprise other gases. The chamber cleaning gases and silicon-containing film etching gases of the present invention have a low global warming potential and hardly generate substances in the exhaust gases such as CF4, etc, which are harmful to the environment and have been perceived as contributing to global warming. Therefore, the gases are friendly to the global environment, and have easy handling and excellent exhaust gas treating properties. Further, the chamber cleaning gases of the invention have excellent cleaning rate.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: September 7, 2004
    Assignees: Asahi Glass Company, Limited, Anelva Corporation, Ulvac, Inc., Kanto Denka Kogyo Co., Ltd., Sanyo Electric Co., Ltd., Sony Corporation, Daikin Industries, Ltd., Tokyo Electron Limited, NEC Electronics Corporation, Hitachi Kokusai Electric Inc., Matsushita Electric Industrial Co., Ltd., Renesas Technology Corp.
    Inventors: Akira Sekiya, Yuki Mitsui, Ginjiro Tomizawa, Katsuya Fukae, Yutaka Ohira, Taisuke Yonemura
  • Patent number: 6787968
    Abstract: An anti stiction structure for cantilever formation technique. In one embodiment, the polymer cantilever is prevented from sticking to the substrate by at amortized stick layer on the substrate during formation that is later removed as a sacrificial layer. In another embodiment, the cantilever includes downwardly extending legs.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: September 7, 2004
    Assignee: California Institute of Technology
    Inventors: Yu-Chong Tai, Tze-Jung Yao, Xing Yang
  • Patent number: 6787052
    Abstract: A method for fabricating semiconductor microstructures with a combination of etching steps, i.e. local RIE, isotropic, etc. followed by deep anisotropic etching.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: September 7, 2004
    Inventor: Vladimir Vaganov
  • Publication number: 20040169011
    Abstract: An object of this invention is to suppress the amount of etchant used. A liquid etchant is stored in an etchant vessel, and vaporized by a vaporization unit. A fragile layer such as a porous layer is selectively etched with the vaporized etchant.
    Type: Application
    Filed: January 12, 2004
    Publication date: September 2, 2004
    Applicant: Canon Kabushiki Kaisha
    Inventors: Kazuhito Takanashi, Kenji Yamagata, Kiyofumi Sakaguchi, Kazutaka Yanagita, Takashi Sugai, Takashi Tsuboi
  • Patent number: 6780336
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: August 24, 2004
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6780342
    Abstract: A processing gas constituted of CH2F2, O2 and Ar is introduced into a processing chamber 102 of a plasma processing apparatus 100. The flow rate ratio of the constituents of the processing gas is set at CH2F2/O2/Ar=20 sccm/10 sccm/100 sccm. The pressure inside the processing chamber 102 is set at 50 mTorr. 500 W high frequency power with its frequency set at 13.56 Mz is applied to a lower electrode 108 on which a wafer W is placed. The processing gas is raised to plasma and thus, an SiNx layer 206 formed on a Cu layer 204 is etched. The exposed Cu layer 204 is hardly oxidized and C and F are not injected into it.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: August 24, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Hagihara, Koichiro Inazawa, Wakako Naito
  • Patent number: 6773616
    Abstract: Self-organized, or self-assembled, nanowires of a first composition may be used as an etching mask for fabrication of nanowires of a second composition. The method for forming such nanowires comprises: (a) providing an etchable layer of the second composition and having a buried insulating layer beneath a major surface thereof; (b) growing self-assembled nanowires on the surface of the etchable layer; and (c) etching the etchable layer anisotropically down to the insulating layer, using the self-assembled nanowires as a mask. The self-assembled nanowires may be removed or left. In either event, nanowires of the second composition are formed. The method enables the formation of one-dimensional crystalline nanowires with widths and heights at the nanometer scale, and lengths at the micrometer scale, which are aligned along certain crystallographic directions with high crystal quality.
    Type: Grant
    Filed: December 26, 2001
    Date of Patent: August 10, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Yong Chen, Douglas A. A. Ohlberg, Theodore I. Kamins, R. Stanley Williams
  • Publication number: 20040146810
    Abstract: The present invention describes a processes that builds an acoustic cavity, a chamber, and vent openings for acoustically connecting the chamber with the acoustic cavity. The dry etch processes may include reactive ion etches, which include traditional parallel plate RIE dry etch processes, advanced deep and inductively coupled plasma RIE processes. Three embodiments for connecting the chamber to the cavity from the top side of the substrate, e.g. by using pilot openings formed using at least a portion of the mesh as an etch mask, by forming the vent openings using at least a portion of the mesh as an etch mask, or by having the chamber intersect the vent openings as the chamber is being formed, illustrate how the disclosed process may be modified. By forming the cavity on the back side of the substrate, the depth of the vent holes is decreased. Additionally, using at least a portion of the micro-machined mesh as an etch mask for the vent holes makes the process self-aligning.
    Type: Application
    Filed: January 23, 2003
    Publication date: July 29, 2004
    Inventors: Kaigham J. Gabriel, Xu Zhu
  • Patent number: 6767838
    Abstract: A method and apparatus of treating a surface of a sample. A sample is arranged on a stage provided in a chamber, an etching gas is continuously supplied into the chamber and a plasma is generated from the etching gas. An rf bias at a frequency of 100 kHz or higher is applied to the stage independently of the generation of the plasma, and the rf bias is modulated at a frequency of 100 Hz to 10 kHz. Thereby, a surface treatment in which a minimum feature size is 1 &mgr;m or smaller is performed on the sample.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: July 27, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Tatsumi Mizutani, Ryouji Hamasaki, Tokuo Kure, Takafumi Tokunaga, Masayuki Kojima
  • Patent number: 6764605
    Abstract: In one embodiment, a fluid ejection device comprises a substrate having a fluid slot defined from a first surface through to a second opposite surface; an ejection element formed over the first surface and that ejects fluid therefrom; and a filter having feed holes positioned over the fluid slot near the first surface. Fluid moves from the second surface through the feed holes to the ejection element. In a particular embodiment, the filter is formed of a first material that is surrounded by a second material. In another particular embodiment, the filter is formed from the back side and is formed of the same material as the substrate.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: July 20, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Jeremy Donaldson, Naoto A. Kawamura, Daniel A. Kearl, Donald J. Milligan, J. Daniel Smith, Martha A. Truninger, Diane Lai, Norman L. Johnson, William Edwards, Sadiq Bengali, Timothy R. Emery
  • Publication number: 20040124177
    Abstract: Additional variants of the method of etching structures into an etching body, in particular recesses in a silicon body that are laterally defined in a precise manner by an etching mask, using a plasma, is described. In addition, the use of this method in the introduction of structures, in particular trenches having a high aspect ratio, into a dielectric layer or a dielectric base body and in a layer of silicon is described, isotropic underetching and/or isotropic, sacrificial-layer etching, in particular using fluorine radicals or a highly oxidizing fluorine compound such as ClF3, being performed after the production of the structures in at least some areas in the case of the layer made of silicon.
    Type: Application
    Filed: September 30, 2003
    Publication date: July 1, 2004
    Inventors: Andrea Urban, Franz Laermer, Klaus Breitschwerdt, Volker Becker
  • Patent number: 6755982
    Abstract: A surface micromachining process for the fabrication of three-dimensional micro-hinges directly on silicon on insulator wafers. The process includes the steps of (a) defining openings around the surface of a desired hinge pin in a single layer of a silicon single crystal; (b) subjecting the openings to an etching process for removal of oxide material that is located in contiguous relation to the openings under the area of a hinge; (c) growing thermal oxide to define a gap between the hinge pin and a subsequently deposited polysilicon cap; (d) immediately depositing a thin layer of a chemical vapor deposited oxide sufficient to cover fine gaps not completely covered by the thermal oxide; depositing polysilicon and etching to define a hinge cap; and further etching to allow a mirror to be lifted out of the silicon wafer.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: June 29, 2004
    Assignee: Xerox Corporation
    Inventor: Chuang-Chia Lin
  • Patent number: 6749763
    Abstract: A semiconductor substrate, on which a silicon dioxide film with a resist film defined thereon has been formed, is placed inside a reaction chamber of a plasma processing system. Then, a fluorocarbon gas with a C/F ratio of 0.5 or more is introduced into the reaction chamber. In this process step, the flow rate of the gas is controlled such that the residence time &tgr; of the gas in the reaction chamber becomes greater than 0.1 sec and equal to or less than 1 sec in accordance with an equation &tgr;=P×V/Q, where &tgr; is the residence time (unit: sec), P is a pressure (unit: Pa) of the gas, V is a volume (unit: L) of the reaction chamber and Q is the flow rate (unit: Pa·L/sec) of the gas. Thereafter, plasma is created from the fluorocarbon gas and the silicon dioxide film is plasma-etched using the resist film as a mask.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: June 15, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Shinichi Imai
  • Patent number: 6749770
    Abstract: A method of etching a platinum electrode layer disposed on a substrate to produce a semiconductor device including a plurality of platinum electrodes. The method comprises heating the substrate to a temperature greater than about 150° C., and etching the platinum electrode layer by employing a plasma of an etchant gas comprising nitrogen and a halogen (e.g. chlorine), and a gas selected from the group consisting of a noble gas (e.g. argon), BCl3, HBr, SiCl4 and mixtures thereof. The substrate may be heated in a reactor chamber having a dielectric window including a deposit-receiving surface having a surface finish comprising a peak-to-valley roughness height with an average height value of greater than about 1000 Å.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: June 15, 2004
    Inventors: Jeng H. Hwang, Chentsau Ying, Kang-Lie Chiang, Steve S. Y. Mak
  • Patent number: 6749762
    Abstract: A bubble-jet type ink-jet printhead, and a manufacturing method thereof are provided, wherein, the printhead includes a substrate integrally having an ink supply manifold, an ink chamber, and an ink channel, a nozzle plate having a nozzle, a heater consisting of resistive heating elements, and an electrode for applying current to the heater. In particular, the ink chamber is formed in a substantially hemispherical shape on a surface of the substrate, a manifold is formed from its bottom side toward the ink chamber, and the ink channel linking the manifold and the ink chamber is formed at the bottom of the ink chamber. Thus, this simplifies the manufacturing process and facilitates high integration and high volume production. Furthermore, a doughnut-shaped bubble is formed to eject ink in the printhead, thereby preventing a back flow of ink as well as formation of satellite droplets that may degrade image resolution.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: June 15, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-seung Lee, Kyoung-won Na, Sang-wook Lee, Hyun-cheol Kim, Yong-soo Oh
  • Patent number: 6746616
    Abstract: In one illustrative embodiment, a system is comprised of a semiconductor processing tool, an etcher, a metrology tool, and a controller. The semiconductor processing tool is capable of forming a process layer above a semiconducting substrate. The etcher is capable of removing at least a portion of the process layer. The metrology tool is capable of measuring a first depth of the etch at a first location in a first preselected region of the semiconducting substrate. The controller is capable of comparing the first depth to a desired depth, and varying the temperature of a subsequently processed semiconducting substrate in a region corresponding to the first preselected region in response to the first depth being different from the desired depth.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: June 8, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: H. Jim Fulford, Jeremy Lansford
  • Patent number: 6743727
    Abstract: A method of etching a deep, high aspect ratio opening in a silicon substrate includes etching the substrate with a first plasma formed using a first gaseous mixture including a bromine containing gas, an oxygen containing gas and a first fluorine containing gas. The etching process with the first gaseous mixture produces a sidewall passivating deposit, which builds up near the opening entrance. To reduce this buildup, and to increase the average etching rate, the sidewall passivating deposit is periodically thinned by forming a second plasma using a mixture containing silane and a second fluorine containing gas. The substrate remains in the same plasma reactor chamber during the entire process and the plasma is continuously maintained during the thinning step. Holes of a depth greater than 40 times the width may be produced using repeated cycles of etching and thinning.
    Type: Grant
    Filed: June 5, 2001
    Date of Patent: June 1, 2004
    Assignee: International Business Machines Corporation
    Inventors: Gangadhara S. Mathad, Siddhartha Panda, Rajiv M. Ranade
  • Patent number: 6736984
    Abstract: Complex features and fine details are created in a carbon containing work piece by photolithography. A mask layer is deposited by evaporation onto the work piece. A desired pattern is created on the mask layer. The pattern is etched into the work piece and the remaining portion of the mask layer is dissolved.
    Type: Grant
    Filed: May 17, 2001
    Date of Patent: May 18, 2004
    Assignee: Honeywell International Inc.
    Inventor: Ilan Golecki
  • Patent number: 6736982
    Abstract: A micromachined vertical vibrating gyroscope consists of three single crystal silicon assemblies: an outer single crystal silicon assembly, an intermediate single crystal silicon assembly, and an inner single crystal silicon assembly. The outer assembly includes a plurality of arc-shaped anchors arranged in a circle and extending from a single crystal silicon substrate coated with an insulating annulus thereon. The intermediate assembly is a suspended wheel concentric with the arc-shaped anchors. The inner assembly is a suspended hub concentric with the circle formed by the anchors and having no axle at its center. The three assemblies are connected to each other through several flexures. The intermediate suspended wheel is driven into rotational vibration by lateral comb capacitors. Input angular rates are measured by two vertical capacitors.
    Type: Grant
    Filed: June 15, 2001
    Date of Patent: May 18, 2004
    Inventor: Xiang Zheng Tu