With Target Means Patents (Class 250/398)
  • Patent number: 8053746
    Abstract: The present invention relates to an irradiation device for irradiating an irradiation object with heavy charged particles at an irradiation station, comprising a particle accelerator for providing a particle beam and a swivelling device for swivelling the particle beam impinging on the irradiation object, wherein the swivelling device comprises a carrier pivotable about an axis. In accordance with the invention, the irradiation device is characterized in that the particle accelerator is mounted on the pivotable carrier.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: November 8, 2011
    Assignee: Varian Medical Systems Particle Therapy GmbH
    Inventors: Jan Hein Timmer, Holger Goebel, Stefan Schmidt, Juergen Heese, Michael Schillo
  • Patent number: 8053725
    Abstract: Applicants have found that the asymmetrical energy distribution of ions from an ion source allow chromatic aberration to be reduced by filtering ions in the low energy beam tail without significantly reducing processing time. A preferred embodiment includes within an ion beam column a filter that removes the low energy ions from the beam.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: November 8, 2011
    Assignee: FEI Company
    Inventors: Gregory A. Schwind, Jonathan H. Orloff
  • Patent number: 8044374
    Abstract: A hydrogen ion implanter for the exfoliation of silicon from silicon wafers uses a large scan wheel carrying 50+ wafers around its periphery and rotating about an axis. In one embodiment, the axis of rotation of the wheel is fixed and the wheel is formed with tensioned spokes supporting a rim carrying the wafer supports. The spokes may be used for carrying cooling fluid to and from the wafer supports. In one embodiment, a ribbon beam of hydrogen ions is directed down on a peripheral edge of the wheel. The ribbon beam extends over the full radial width of wafers on the wheel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 25, 2011
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Geoffrey Ryding, Theodore H. Smick, Kenneth Harry Purser, Hilton Glavish, Joeph Daniel Gillespie
  • Patent number: 8039813
    Abstract: The present invention relates to a particle-optical component comprising a first multi-aperture plate, and a second multi-aperture plate forming a gap between them; wherein a plurality of apertures of the first multi-aperture plate is arranged such that each aperture of the plurality of apertures of the first multi-aperture plate is aligned with a corresponding aperture of a plurality of apertures of the second multi-aperture plate; and wherein the gap has a first width at a first location and a second width at a second location and wherein the second width is by at least 5% greater than the first width. In addition, the present invention pertains to charged particle systems and arrangements comprising such components and methods of manufacturing multi aperture plates having a curved surface.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: October 18, 2011
    Assignees: Carl Zeiss SMT GmbH, Applied Materials Israel Ltd
    Inventors: Antonio Casares, Thomas Kemen, Rainer Knippelmeyer, Thomas Bayer, Georg Fritz, Johann Greschner, Samuel Kalt
  • Patent number: 8030626
    Abstract: An average write speed M is calculated by averaging write speeds for blocks of a tentative block size La, and write speed variation ? of the blocks with respect to the average write speed M is calculated (Step S12). A maximum speed Vmax is calculated by accelerating and then decelerating (or decelerating and then accelerating) a stage when moving the stage by the width of one of the blocks of the tentative block size La (Step S13). When the relationship “Vmax?M??” does not hold, the tentative block size La is increased (Step S15). When the relationship “Vmax?M??” holds, the tentative block size La is set as an optimal block size Lb (Step S16).
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: October 4, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hidekazu Takekoshi
  • Patent number: 8030625
    Abstract: When writing element shapes of a fine pattern on a substrate applied with a resist by scanning an electron beam thereon, ON/OFF control is performed for emitting the electron beam at a predetermined rotational position of the substrate by a blanking-OFF signal, performing writing in a rotational direction of the substrate along with the rotation of the substrate, and terminating the writing by a blanking-ON signal based on write data to perform writing for one round, and repeating the writing based on the ON/OFF control by moving the electron beam or substrate in a radial direction of the substrate and rotation control is performed for controlling the rotation speed of the rotation stage so as to be increased for inner track writing and decreased for outer track writing inversely proportional to the radius of the writing position.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: October 4, 2011
    Assignee: Fujifilm Corporation
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 8008639
    Abstract: A processing system comprises a gas supply apparatus with which process gas is supplied to an object. An activation beam activates the gas thereby inducing a chemical reaction between material at the surface of the object and the process gas causing ablation of material from the surface or deposition of material at the surface. The gas supply apparatus is formed from a stack of plates providing a gas conduit system between at least one gas inlet and at least one gas outlet.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: August 30, 2011
    Assignee: Carl Zeiss NTS GmbH
    Inventors: Wolfram Buhler, Alexander Rosenthal, Camille Stebler, Emmerich Bertagnolli, Heinz Wanzenbock
  • Patent number: 8003952
    Abstract: A charged particle beam column package includes an assembly (e.g., comprising a plurality of layers, which can have a component coupled to one of the layers), and at least one deflector between an extractor and aperture of the assembly. Further, at least one of the layers has interconnects thereon.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: August 23, 2011
    Assignee: Agilent Technologies, Inc.
    Inventors: Lawrence P. Muray, James Spallas, Charles Silver
  • Patent number: 8003958
    Abstract: There is proposed an apparatus for doping a material to be doped by generating plasma (ions) and accelerating it by a high voltage to form an ion current is proposed, which is particularly suitable for processing a substrate having a large area. The ion current is formed to have a linear sectional configuration, and doping is performed by moving a material to be doped in a direction substantially perpendicular to the longitudinal direction of a section of the ion current.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: August 23, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toshiji Hamatani, Koichiro Tanaka
  • Patent number: 7994488
    Abstract: An ion implantation system comprising an ion source that generates an ion beam along a beam path, a mass analyzer component downstream of the ion source that performs mass analysis and angle correction on the ion beam, a resolving aperture electrode comprising at least one electrode downstream of the mass analyzer component and along the beam path having a size and shape according to a selected mass resolution and a beam envelope, a deflection element downstream of the resolving aperture electrode that changes the path of the ion beam exiting the deflection element, a deceleration electrode downstream of the deflection element that decelerates the ion beam, a support platform within an end station for retaining and positioning a workpiece which is implanted with charged ions, and wherein the end station is mounted approximately eight degrees counterclockwise so that the deflected ion beam is perpendicular to the workpiece.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: August 9, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Yongzhang Huang
  • Publication number: 20110186747
    Abstract: Systems and methods of an ion implant apparatus include an ion source for producing an ion beam along an incident beam axis. The ion implant apparatus includes a beam deflecting assembly coupled to a rotation mechanism that rotates the beam deflecting assembly about the incident beam axis and deflects the ion beam. At least one wafer holder holds target wafers and the rotation mechanism operates to direct the ion beam at one of the at least one wafer holders which also rotates to maintain a constant implant angle.
    Type: Application
    Filed: February 15, 2011
    Publication date: August 4, 2011
    Inventor: John Ruffell
  • Patent number: 7989777
    Abstract: A method for inspecting a settling time of a deflection amplifier includes setting a settling time, performing shooting a plurality of times alternately to project two patterns of different types which are shaped by making a charged particle beam pass through a first and a second apertures while deflecting the charged particle beam by a deflector controlled by an output of a deflection amplifier which is driven based on the settling time having been set, measuring beam currents of the shooting, calculating an integral current of the beam currents measured, and calculating a difference between the integral current calculated and a reference integral current to output the difference.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: August 2, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Yoshikuni Goshima
  • Patent number: 7982192
    Abstract: In a beam processing apparatus including a beam scanner having a two electrodes type deflection scanning electrode, the beam scanner further includes shielding suppression electrode assemblies respectively at vicinities of upstream side and downstream side of the two electrodes type deflection scanning electrode and having openings in a rectangular shape for passing a charged particle beam. Each of the shielding suppression electrode assemblies is an assembly electrode comprising one sheet of a suppression electrode and two sheets of shielding ground electrodes interposing the suppression electrode. A total of front side portions and rear side portions of the two electrodes type deflection scanning electrode is shielded by the two sheets of shielding ground electrodes.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: July 19, 2011
    Assignee: SEN Corporation
    Inventors: Mitsukuni Tsukihara, Mitsuaki Kabasawa, Hiroshi Matsushita, Takanori Yagita, Yoshitaka Amano, Yoshito Fujii
  • Patent number: 7982194
    Abstract: A system that can maintain and track the position of a single nanoparticle in three dimensions for a prolonged period has been disclosed. The system allows for continuously imaging the particle to observe any interactions it may have. The system also enables the acquisition of real-time sequential spectroscopic information from the particle. The apparatus holds great promise in performing single molecule spectroscopy and imaging on a non-stationary target.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: July 19, 2011
    Assignee: The Regents of the University of California
    Inventors: Haw Yang, Hu Cang, Cangshan Xu, Chung M. Wong
  • Patent number: 7974804
    Abstract: A registration detection system realizes both substrate-by-substrate correction and highly accurate correction of an exposure process.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: July 5, 2011
    Assignee: Nikon Corporation
    Inventor: Makoto Takagi
  • Publication number: 20110147609
    Abstract: An ion beam device according to the present invention includes a gas field ion source (1) including an emitter tip (21) supported by an emitter base mount (64), a ionization chamber (15) including an extraction electrode (24) and being configured to surround the emitter tip (21), and a gas supply tube (25). A center axis line of the extraction electrode (24) overlaps or is parallel to a center axis line (14A) of the ion irradiation light system, and a center axis line (66) passing the emitter tip (21) and the emitter base mount (64) is inclinable with respect to a center axis line of the ionization chamber (15). Accordingly, an ion beam device including a gas field ion source capable of adjusting the direction of the emitter tip is provided.
    Type: Application
    Filed: March 30, 2009
    Publication date: June 23, 2011
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Hiroyasu Shichi, Shinichi Matsubara, Norihide Saho, Masahiro Yamaoka, Noriaki Arai
  • Publication number: 20110147200
    Abstract: An ion beam generator generates plasma in a discharge tank 2, leads out an annular ion beam by a lead-out electrode 7, and deflects the ion beam in an annular center direction by a deflecting electrode 30 to enter a substrate W from the inclined direction to provide uniformity of the incident ion beam to the substrate without increasing the size of the whole apparatus.
    Type: Application
    Filed: November 30, 2010
    Publication date: June 23, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Hirohisa Hirayanagi, Ayumu Miyoshi, Einstein Noel Abarra
  • Patent number: 7960697
    Abstract: The present invention relates to a charged particle beam apparatus which employs a scanning electron microscope for sample inspection and defect review. The present invent provides solution of improving imaging resolution by utilizing a field emission cathode tip with a large tip radius, applying a large accelerating voltage across ground potential between the cathode and anode, positioning the beam limit aperture before condenser lens, utilizing condenser lens excitation current to optimize image resolution, applying a high tube bias to shorten electron travel time, adopting and modifying SORIL objective lens to ameliorate aberration at large field of view and under electric drifting and reduce the urgency of water cooling objective lens while operating material analysis. The present invent provides solution of improving throughput by utilizing fast scanning ability of SORIL and providing a large voltage difference between sample and detectors.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: June 14, 2011
    Assignee: Hermes-Microvision, Inc.
    Inventors: Zhongwei Chen, Weiming Ren, Joe Wang, Xuedong Liu, Juying Dou, Fumin He, Feng Cao, Yan Ren, Xiaoli Guo, Wei He, Qingpo Xi
  • Patent number: 7952083
    Abstract: An ion beam system includes a sample stage which holds a sample, an ion source which generates an ion beam so that the ion beam is extracted from the ion source along an extraction axis, an irradiation optical system having an irradiation axis along which the ion beam is irradiated toward the sample held on the sample stage, and a charged particle beam observation system for observing a surface of the sample which is machined by the irradiated ion beam. The extraction axis along which the ion beam is extracted from the ion source and the irradiation axis along which the sample is irradiated are inclined with respect to one another.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: May 31, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyasu Shichi, Muneyuki Fukuda, Yoshinori Nakayama, Masaki Hasegawa, Satoshi Tomimatsu
  • Publication number: 20110108737
    Abstract: In order to obtain a particle beam irradiation apparatus that enlarges the dose distribution of beam spots while suppressing a decrease of the maximum available range of a charged particle beam, the particle beam irradiation apparatus includes a particle beam acceleration means; particle beam transport means; scanning apparatus that includes first scanning means and second scanning means, and two-dimensionally scans the beam; and irradiation control means that controls the scanning apparatus so as to irradiate the beam onto a target region including a plurality of small regions. The irradiation control means controls the first scanning means so as to scan the beam over a small region serving as an irradiation subject among the plurality of the small regions, and controls the second scanning means so as to change the small region serving as the irradiation subject to be a different small region among the plurality of the small regions.
    Type: Application
    Filed: June 3, 2009
    Publication date: May 12, 2011
    Applicant: Mitsubishi Electric Corporation
    Inventors: Yuehu Pu, Katsuhisa Yoshida, Yuichi Yamamoto, Hidenobu Sakamoto, Taizo Honda, Hisashi Harada, Takaaki Iwata
  • Patent number: 7935945
    Abstract: Using a beam current of an ion beam, and a dose amount to a substrate, and an initial value of a scan number of the substrate set to 1, a scan speed of the substrate is calculated. If the scan speed is within the range, the current scan number and the current scan speed are set as a practical scan number and a practical scan speed, respectively. If the scan speed is higher than the upper limit of the range, the calculation process is aborted. If the scan speed is lower than the lower limit of the range, the scan number is incremented by one to calculate a corrected scan number. A corrected scan speed is calculated by using the corrected scan number, etc. The above steps are repeated until the corrected scan speed is within the allowable scan speed range.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: May 3, 2011
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventor: Masayoshi Hino
  • Patent number: 7935946
    Abstract: Using a beam current of an ion beam, a dose amount to a substrate, and a reference scan speed, a scan number of the substrate is calculated as an integer value in which digits after a decimal point are truncated. If the scan number is smaller than 2, the process is aborted. If the scan number is equal to or larger than 2, it is determined whether the scan number is even or odd. If the scan number is even, the current scan number is set as a practical scan number. If the scan number is odd, an even scan number which is smaller by 1 than the odd scan number is obtained, and the obtained even scan number is set as a practical scan number. A practical scan speed of the substrate is calculated by using the practical scan number, the beam current, and the dose amount.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: May 3, 2011
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventor: Masayoshi Hino
  • Patent number: 7935947
    Abstract: A glass composition for ultraviolet light is provided. The glass composition for ultraviolet light contains Lu, Si, and O in an amount of 99.99 weight % or more in total. The glass composition contains Lu in an amount of 26% or more and 39% or less in cation percent and Si in an amount of 61% or more and 74% or less in cation percent.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: May 3, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tomohiro Watanabe, Taihei Mukaide
  • Publication number: 20110089334
    Abstract: An ion implanter and an ion implant method are disclosed. The ion implanter has an aperture assembly with a variable aperture and is located between an ion source of an ion beam and a holder for holding a wafer. At least one of the size and the shape of the variable aperture is adjustable. The ion beam may be flexibly shaped by the variable aperture, so that the practical implantation on the wafer can be controllably adjusted without modifying an operation of both the ion source and mass analyzer or applying a magnetic field to modify the ion beam. An example of the aperture assembly has two plates, each having an opening formed on its edge such that a variable aperture is formed by a combination of these openings. By respectively moving the plates, the size and the shape of the variable aperture can be changed.
    Type: Application
    Filed: October 20, 2009
    Publication date: April 21, 2011
    Applicant: ADVANCED ION BEAM TECHNOLOGY, INC.
    Inventor: Richard F. McRay
  • Patent number: 7928383
    Abstract: A charged particle detector consists of a plurality independent light guide modules assembled together to form a segmented in-lens on-axis annular detector, with a center hole for allowing the primary charged particle beam to pass through. One side of the assembly facing the specimen is coated with or bonded to scintillator material as the charged particle detection surface. Each light guide module is coupled to a photomultiplier tube to allow light signals transmitted through each light guide module to be amplified and processed separately. A charged particle detector is made from a single block of light guide material processed to have a cone shaped circular cutout from one face, terminating on the opposite face to an opening to allow the primary charged particle beam to pass through. The opposite face is coated with or bonded to scintillator material as the charged particle detection surface.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: April 19, 2011
    Assignee: Hermes-Microvision, Inc.
    Inventors: Joe Wang, Xu Zhang, Zhong-Wei Chen
  • Patent number: 7928414
    Abstract: A charged particle beam writing apparatus includes an irradiation part configured to irradiate a charged particle beam; a first shaping aperture member having passing areas, that the charged particle beam passes through, on both sides of an area blocking the charged particle beam; a deflection part configured to deflect the charged particle beam that has passed through the first shaping aperture member; a second shaping aperture member having passing areas, that the charged particle beam passes through, on both sides of an area blocking the deflected charged particle beam; and a stage on which a target workpiece irradiated with the charged particle beam that has passed through the second shaping aperture member is placed.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: April 19, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 7928405
    Abstract: A lens assembly having a magnetic lens assembly for a charged particle beam system is provided. The lens assembly includes: a first pole piece having a connecting portion of the first pole piece and a gap portion of the first pole piece, a second pole piece having a connecting portion of the second pole piece and a gap portion of the second pole piece, wherein the first pole piece and the second pole piece provide a gap at the respective gap portions, a coil for exciting the magnetic lens assembly, a centering element comprising a material that has a smaller Young's modulus than the material of the first and the material of the second pole piece, wherein the pole pieces are connected with each other at the respective connecting portions and have a centering element receiving portion towards the respective gap portion ends of the pole pieces.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: April 19, 2011
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventors: Pavel Adamec, Carlo Salvesen, Ivo Liska
  • Patent number: 7928404
    Abstract: The invention provides methods for conjugate blanking of a charged particle beam within a charged particle column using a beam blanker. The beam blanker comprises a first deflector, a second deflector and a blanking aperture, the first deflector being positioned between a gun lens and a main lens, the second deflector being positioned between the first deflector and the main lens, the blanking aperture being positioned between the second deflector and the main lens, and the first deflector, the second deflector and the blanking aperture being aligned on the optical axis of the column.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: April 19, 2011
    Assignee: Multibeam Corporation
    Inventor: N. William Parker
  • Publication number: 20110084216
    Abstract: A method for treating a structure is described. One embodiment includes forming a structure on a substrate, wherein the structure has a plurality of surfaces including one or more first surfaces lying substantially parallel to a first plane parallel with said substrate and one or more second surfaces lying substantially perpendicular to the first plane. Additionally, the method comprises directing a gas cluster ion beam (GCIB) formed from a material source toward the substrate with a direction of incidence, and orienting the substrate relative to the direction of incidence. The method further comprises treating the one or more second surfaces.
    Type: Application
    Filed: October 8, 2009
    Publication date: April 14, 2011
    Applicant: TEL Epion Inc.
    Inventors: John J. Hautala, Noel Russell
  • Patent number: 7923699
    Abstract: Control data for a main deflector is calculated based on position data that specifies the position of a region to be irradiated with the electron beam on the subfield, data on the number of all beam shots on the subfield, data on a time required for all the beam shots, and stage data that specifies the position of the stage. When the number of beam shots on one of the divided subfield sections reaches the obtained number of the beam shots on each of the divided subfield sections, a writing process proceed to a writing operation to be performed on another one of the divided subfield sections based on the direction of the movement of the stage.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: April 12, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideo Inoue
  • Patent number: 7906761
    Abstract: A charged particle beam apparatus that can achieve both high defect-detection sensitivity and high inspection speed for a sample with various properties in a multi-beam type semiconductor inspection apparatus. The allocation of the primary beam on the sample is made changeable, and furthermore, the beam allocation for performing the inspection at the optimum inspection specifications and at high speed is selected based on the property of the sample. In addition, many optical parameters and apparatus parameters are optimized. Furthermore, the properties of the selected primary beam are measured and adjusted.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: March 15, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Sayaka Tanimoto, Hiroya Ohta, Hiroshi Makino, Ryuichi Funatsu
  • Patent number: 7902527
    Abstract: An ion implantation apparatus with multiple operating modes is disclosed. The ion implantation apparatus has an ion source and an ion extraction means for extracting a ribbon-shaped ion beam therefrom. The ion implantation apparatus includes a magnetic analyzer for selecting ions with specific mass-to-charge ratio to pass through a mass slit to project onto a substrate. Multipole lenses are provided to control beam uniformity and collimation. A two-path beamline in which a second path incorporates a deceleration or acceleration system incorporating energy filtering is disclosed. Finally, methods of ion implantation are disclosed in which the mode of implantation may be switched from one-dimensional scanning of the target to two-dimensional scanning.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: March 8, 2011
    Inventors: Jiong Chen, Nicholas R. White
  • Patent number: 7902521
    Abstract: The present invention relates to a method for improving focusing in an electron column that generates an electron beam. The method for controlling the focusing of an electron beam in according to the present invention reduces the spot size of the electron beam when the electron beam reaches a specimen, so that resolution can be increased and the line width of a pattern in a semiconductor lithography process can be reduced, with the result that the performance of the electron can be improved.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: March 8, 2011
    Assignee: Cebt Co. Ltd.
    Inventors: Ho Seob Kim, Seung Joon Ahn, Dae Wook Kim, Young Chul Kim
  • Patent number: 7897942
    Abstract: A substrate processing apparatus and method for dynamic tracking of wafer motion and distortion during lithography are disclosed. An energetic beam may be applied to a portion of a substrate according to a predetermined pattern. The relative positions of one or more targets on the substrate may be determined while applying the energetic beam to the portion of the substrate. A dynamic distortion of the substrate may be determined from the relative positions while applying the energetic beam to the portion of the substrate. Application of the energetic beam may be deviated from the predetermined pattern in a manner calculated to compensate for the dynamic distortion of the substrate.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: March 1, 2011
    Assignee: KLA-Tencor Corporation
    Inventors: Noah Bareket, Marek Zywno
  • Publication number: 20110031408
    Abstract: In an ion implanter, an ion current measurement device is disposed behind a mask co-planarly with respect to a surface of a target substrate as if said target substrate was positioned on a platen. The ion current measurement device is translated across the ion beam. The current of the ion beam directed through a plurality of apertures of the mask is measured using the ion current measurement device. In this manner, the position of the mask with respect to the ion beam as well as the condition of the mask may be determined based on the ion current profile measured by the ion current measurement device.
    Type: Application
    Filed: July 28, 2010
    Publication date: February 10, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Benjamin B. Riordon, Nicholas P.T. Bateman, William T. Weaver, Russell J. Low
  • Patent number: 7884334
    Abstract: The method includes scanning a sample in at least one first scan line using a first charged particle beam probe; scanning the sample in at least one second scan line using a second charged particle beam probe, and scanning the sample in at least one third scan line using the first charged particle beam probe. The first or second charged particle beam probe is defocused by a control module of the imaging system through adjusting a condenser lens module, an objective lens module, a sample stage of the imaging system, or their combination. An image of the sample is selectively formed from the first, second and third scan lines. The first and the second charged particle beams induce a first charging condition and a second charging condition on the sample surface respectively. The second charging condition can enhance, mitigate, eliminate, reverse or have no effect on the first charging condition.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Hermes Microvision, Inc.
    Inventors: Yan Zhao, Jack Jau
  • Patent number: 7875860
    Abstract: According to an embodiment, an apparatus for measuring the uniformity of a beam of charged particles at an exposure location includes a plurality of Faraday cups, each cup including an electrometer for determining the current collected by said cup, at least one multi-channel low current scanner card electrically coupled to the electrometers, a processor electrically coupled to said at least one scanner card, computational analysis software for receiving signals from said processor and calculating beam parameters, and display software for generating a graphical representation of the beam parameters calculated by said computational analysis software.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: January 25, 2011
    Assignee: The Boeing Company
    Inventors: Jason Andrew Koehn, Dennis A. Russell
  • Patent number: 7875868
    Abstract: A charged particle beam irradiation system comprises a high-speed steerer (beam dump device) 100 disposed in a course of a beam transport line 4 through which an ion beam is extracted from a charged-particle beam generator 1. The beam dump device 100 is provided with dose monitoring devices 105, 106 for measuring a dose of an ion beam applied to a beam dump 104 so that the intensity of the ion beam can be measured without transporting the ion beam to irradiation nozzles 15A through 15D. Thus, the system is capable of adjusting the intensity of an ion beam extracted from a synchrotron without operating each component of a beam transport line, and an irradiation nozzle.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: January 25, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Kunio Moriyama, Takahide Nakayama, Hideaki Nishiuchi
  • Patent number: 7875857
    Abstract: An X-ray photoelectron spectroscopy analysis system for analysing an insulating sample 20, and a method of XPS analysis. The system comprises an X-ray generating means 30 having an exit opening 32 and being arranged to generate primary X-rays 46,56 which pass out of the exit opening in a sample direction towards a sample surface 22 for irradiation thereof. It has been found that the X-ray generating means in use additionally generates unwanted electrons 258 which may pass out of the exit opening substantially in the sample direction and cause undesirable sample charging effects. The system further comprises an electron deflection field generating means 380,480,580 arranged to generate a deflection field upstream of the sample surface. The deflection field is configured to deflect the unwanted electrons away from the sample direction, such that the unwanted electrons are prevented from reaching the sample surface.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: January 25, 2011
    Assignee: Thermo Fisher Scientific Inc.
    Inventor: Bryan Robert Barnard
  • Patent number: 7872241
    Abstract: An electron beam production and control assembly includes a vacuum chamber, a beam source, and a target. The target has an active section and an inactive section. The active section is adapted to generate x-rays when the beam impinges on the x-ray producing section. The electron beam production and control assembly also includes a focusing unit positioned along the chamber at a location intermediate the rearward end and the forward end. The focusing unit directs the beam towards the target in a converging manner to impinge on the target. The focusing unit sweeps the beam along a scanning path over the active section of the target. The focusing unit moves the beam to a retrace path on the inactive section of the target between sweeps of the scanning path to maintain ion accumulation in the beam between sweeps over the active section.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: January 18, 2011
    Assignee: Telesecurity Sciences, Inc.
    Inventor: Roy E. Rand
  • Patent number: 7872232
    Abstract: To enable measurement of an elastically scattered electron image, a characteristic-X-ray-based element image and an electron-beam-energy-spectroscopy-based element image with a high S/N and high spatial resolution in an electronic microscope having a function to produce an element image. Measurement of a characteristic X-ray signal and electron beam energy loss spectra or measurement of a plurality of energy filter signals including a core loss of an observed element is performed simultaneously and continuously with detection of elastically scattered electrons transmitted through a specimen to be analyzed, and element images based on characteristic X-rays and electron beam energy spectroscopy are added up while correcting a positional misalignment with respect to elastically scattered electron images continuously observed (see FIG. 1).
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: January 18, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Kazutoshi Kaji
  • Patent number: 7868301
    Abstract: A device for deflecting a beam of electrically charged particles onto a curved particle path is provided. The device includes at least one beam guidance magnet having a coil system which has at least one coil that is curved along the particle path for the purpose of deflecting the beam onto a curved particle path, and at least one scanner magnet for variably deflecting the beam in a y,z plane at right angles to the particle path, characterized in that the device has at least one correction system which is embodied to influence the particle path in a regulated or controlled manner with the aid of electric and/or magnetic fields as a function of the position of the beam in the y,z plane. The invention also relates to a corresponding method for deflecting a beam of electrically charged particles onto a curved particle path.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: January 11, 2011
    Assignee: Siemens Aktiengesellschaft
    Inventor: Dirk Diehl
  • Patent number: 7868300
    Abstract: Lithography system, sensor and method for measuring properties of a massive amount of charged particle beams of a charged particle beam system, in particular a direct write lithography system, in which the charged particle beams are converted into light beams by using a converter element, using an array of light sensitive detectors such as diodes, CCD or CMOS devices, located in line with said converter element, for detecting said light beams, electronically reading out resulting signals from said detectors after exposure thereof by said light beams, utilizing said signals for determining values for one or more beam properties, thereby using an automated electronic calculator, and electronically adapting the charged particle system so as to correct for out of specification range values for all or a number of said charged particle beams, each for one or more properties, based on said calculated property values.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: January 11, 2011
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Erwin Slot, Tijs Frans Teepen, Marco Jan Jaco Wieland, Stijn Willem Karel Herman Steenbrink
  • Patent number: 7863564
    Abstract: An electric charged particle beam microscope is provided in which a specimen movement due to a specimen rotation is classified into a repeatable movement and a non-repeatable movement, a model of movement is determined for the repeatable movement, a range of movement is determined for the non-repeatable movement, the repeatable movement is corrected on the basis of the movement model through open-loop and the non-repeatable movement is corrected under a condition set on the basis of the range of movement.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: January 4, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ruriko Tsuneta, Hideki Kikuchi, Takafumi Yotsuji, Toshie Yaguchi
  • Publication number: 20100320393
    Abstract: A tool for patterning a disk such as a magnetic media disk for use in a disk drive system. The tool includes a chamber and a first and second series of magnets, each evenly spaced about the chamber wall. An ion beam source at an end of the chamber emits an ion beam toward the disk which is held within the chamber. The first series of magnets deflect the ion beam away from center and toward the chamber wall. The second ion beam source deflects the ion beam back toward the center so that the ion beam can strike the disk at an angle. In addition, to bending the ion beam, the magnets also rotate the bent ion beam so the movement of the ion beam revolves within the chamber.
    Type: Application
    Filed: June 23, 2009
    Publication date: December 23, 2010
    Inventors: Thomas Robert Albrecht, Jeffrey S. Lille
  • Publication number: 20100320394
    Abstract: The energy of positive ions accelerated in laser-matter interaction experiments can be significantly increased by providing a plurality of laser pulses, e.g., through the process of splitting the incoming laser pulse, to form multiple laser-matter interaction stages. From a thermodynamic point of view, the splitting procedure can be viewed as an effective way of increasing the efficiency of energy transfer from the laser light to positive ions, which energy peaks for processes having the least amount of entropy gain. A 100% increase in the energy efficiency is achieved for a six-stage laser positive ion accelerator compared to a single-stage laser positive ion accelerator.
    Type: Application
    Filed: November 13, 2008
    Publication date: December 23, 2010
    Applicant: Fox Chase Cancer Center
    Inventors: Chang-ming Ma, Iavor Veltchev, Eugene S. Fourkal
  • Patent number: 7855361
    Abstract: An ion detector comprises an ion guide with electrodes arranged about a first axis; a positive ion detection device with an ion inlet at a first side of the ion output section offset from and at an angle to the first axis; and a negative ion detection device with an ion inlet at a second side opposite the first side, offset from and at an angle to the first axis. A negative voltage bias applied to the positive ion device accelerates positive ions toward the inlet along a path including a component along a second axis orthogonal to the first axis. A positive voltage bias applied to the negative ion detection device accelerates negative ions toward the inlet along a path that includes a component along the second axis orthogonal to the first axis in a direction generally opposite to the path of the positive ions.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: December 21, 2010
    Assignee: Varian, Inc.
    Inventor: Urs Steiner
  • Patent number: 7851767
    Abstract: A beam control assembly to shape a ribbon beam of ions for ion implantation includes a first bar, second bar, first coil of windings of electrical wire, second coil of windings of electrical wire, first electrical power supply, and second electrical power supply. The first coil is disposed on the first bar. The first coil is the only coil disposed on the first bar. The second bar is disposed opposite the first bar with a gap defined between the first and second bars. The ribbon beam travels between the gap. The second coil is disposed on the second bar. The second coil is the only coil disposed on the second bar. The first electrical power supply is connected to the first coil without being electrically connected to any other coil. The second electrical power supply is connected to the second coil without being electrically connected to any other coil.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: December 14, 2010
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventor: Jiong Chen
  • Patent number: 7847268
    Abstract: The invention discloses a charged particle detecting apparatus for detecting positive ions, negative ions and electrons emitted from a sample, the apparatus comprising a housing, defining a chamber in its interior, which is confined by conductive walls, and has an opening to the outside of said housing; a grid for selectively attracting charged particles, wherein the grid is electrically biasable with respect to said housing and functionally aligned with said opening; a converter arrangement with a converter surface, which is electrically biasable with respect to the grid and with respect to the housing, and which is positioned such that charged particles attracted into the chamber by the grid impact on the converter surface; an electron detector, which is biasable with respect to the converter surface in such a way that electrons emitted from the converter surface impact on the electron detector.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: December 7, 2010
    Assignee: El-Mul Technologies, Ltd.
    Inventors: Semyon Shofman, Eli Cheifetz, Armin Schon, Eitan Pinhasi
  • Patent number: RE42111
    Abstract: A method and apparatus to direct ions away from their otherwise intended or parallel course. Deflectors are used to establish electric fields in regions through which ions are to pass. With such electric fields, ions may be deflected to a desired trajectory. According to the present invention, a multideflector, in the form of a series of bipolar plates spaced evenly across the ion beam path, is used as an ion deflector.
    Type: Grant
    Filed: January 27, 2003
    Date of Patent: February 8, 2011
    Assignee: Bruker Daltonics, Inc.
    Inventors: Melvin A. Park, Claus Koester