With Target Means Patents (Class 250/398)
  • Patent number: 7842920
    Abstract: An analysis system has a charged particle beam instrument and a scanning probe microscope operably coupled with the charged particle beam instrument. A stage defines an aperture, the stage is adapted to support the sample over the aperture and finely move the sample at least along an X and Y axis, the aperture further situated in an operable area of the charged particle beam. The charged particle beam is used to mill the sample, while the scanning probe microscope is used to measure elements exposed by the milling.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: November 30, 2010
    Assignee: DCG Systems, Inc.
    Inventor: Theodore R. Lundquist
  • Patent number: 7838830
    Abstract: A charged particle beam apparatus is provided, which comprises a charged particle beam column for generating a primary charged particle beam; a focusing assembly, such as a charged particle lens, e.g., an electrostatic lens, for focusing the primary charged particle beam on a specimen; a detector for detecting charged signal particles which are emerging from the specimen; and a deflector arrangement for deflecting the primary charged particle beam. The deflector arrangement is arranged downstream of the focusing assembly and is adapted for allowing the charged signal particles passing therethrough. The detector is laterally displaced with respect to the optical axis in a deflection direction defined by the post-focusing deflector arrangement.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: November 23, 2010
    Assignee: ICT, Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventors: Juergen Frosien, Helmut Banzhof, Jacob Levin, Dror Shemesh
  • Patent number: 7834336
    Abstract: A method of irradiating a target in a subject using charged particle therapy includes the steps of positioning a subject on a supporting device, positioning a delivery device adapted to deliver charged particles, and delivering charged particles to a target in the subject wherein the delivery device rotates around the target during delivery of at least a portion of the charged particles.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: November 16, 2010
    Assignee: Varian Medical Systems, Inc.
    Inventors: Lester D. Boeh, James Clayton, Marcel R. Marc, Armin Langenegger
  • Patent number: 7829865
    Abstract: An electrostatic deflector that can be manufactured easily and very accurately without using a member for positioning is provided. After multiple slits 81d to 88d have been formed in the same direction as that of the bus bar of an approximately conical electrode material 100 whose large-diameter section is formed with flange portions 81a to 88a for installation on an insulator 90, each of the flange portions is coupled with the insulator 90, then the electrode material 100 is cut along extension lines of the slits 81d to 88d, and thus, multiple electrode members electrically isolated from one another are formed.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: November 9, 2010
    Assignee: Topcon Corporation
    Inventors: Takashi Kametani, Masahiro Inoue
  • Patent number: 7829156
    Abstract: A method and an apparatus serve to produce thin films having a biaxial crystal orientation. The method includes the steps of: depositing atoms on a substrate, the atoms having a composition corresponding to the thin film to be produced; bombarding the deposited atoms with an energized beam, the energized beam being oriented with respect to the substrate at an angle of a defined range of angles, the step of bombarding substantially taking place during a different time period than the step of depositing; and alternately repeating the step of depositing and the step of bombarding for a plurality of times.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: November 9, 2010
    Assignee: Bruker HTS GmbH
    Inventors: Alexander Usoskin, Lutz Kirchhoff
  • Patent number: 7820987
    Abstract: An approach for predicting dose repeatability in an ion implantation is described. In one embodiment, an ion source is tuned to generate an ion beam with desired beam current. Beam current measurements are obtained from the tuned ion beam. The dose repeatability is predicted for the ion implantation as a function of the beam current measurements.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: October 26, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Norman E. Hussey, Steven R. Walther, Rekha Padmanabhan
  • Patent number: 7807978
    Abstract: The present invention provides a method for implanting charged particles in a substrate and a method for manufacturing an integrated circuit. The method for implanting charged particles in a substrate, among other steps, includes projecting a beam of charged particles (320) to a substrate (330), the beam of charged particles (320) having a given beam divergence; and forming a diverged beam of charged particles (360) by subjecting the beam of charged particles (320) to an energy field (350), thereby causing the beam of charged particles (320) to have a larger beam divergence. The method then desires implanting the diverged beam of charged particles (360) into the substrate (330).
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: October 5, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: James D. Bernstein, Lance S. Robertson, Said Ghneim, Jiejie Xu, Jeffrey Loewecke
  • Patent number: 7800075
    Abstract: A multifunction module for an electron beam column comprises upper and lower electrodes, and a central ring electrode. The upper and lower electrodes have multipoles and are capable of deflecting, or correcting an aberration of, an electron beam passing through the electrodes. A voltage can be applied to the central ring electrode independently of the voltages applied to the upper and lower electrodes to focus the electron beam on a substrate.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: September 21, 2010
    Inventors: Benyamin Buller, William J. DeVore, Juergen Frosien, Xinrong Jiang, Richard L. Lozes, Henry Thomas Pearce-Percy, Dieter Winkler, Steven T. Coyle, Helmut Banzhof
  • Patent number: 7795597
    Abstract: A deflector array in which a plurality of deflectors, which deflect charged particle beams, are arrayed on a substrate. The plurality of deflectors include respective openings different from each other formed on the substrate. Each of the plurality of deflectors includes a pair of electrodes opposing each other through a corresponding opening, and the plurality of deflectors are arrayed such that a length of the pair of electrodes in a longitudinal direction thereof is not less than a distance between centers of two of the plurality of deflectors which are located nearest to each other.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: September 14, 2010
    Assignees: Canon Kabushiki Kaisha, Hitachi High-Technologies Corporation
    Inventors: Kenichi Nagae, Masatoshi Kanamaru
  • Publication number: 20100224789
    Abstract: A charged particle beam writing apparatus includes a stage on which a target object is placed; an emitting unit configured to emit a charged particle beam to the stage side; a blocking unit arranged between the emitting unit and the stage and configured to block the charged particle beam emitted; a deflector having electrodes through which a current flows by applying a voltage and configured to deflect the charged particle beam passing between the electrodes onto the blocking unit by applying a predetermined voltage across the electrodes; an optical axis adjusting unit configured to correct optical axis deviation of the charged particle beam generated by continuously repeating irradiation (beam-ON) of the charged particle beam on a target object and blocking (beam-OFF) of the beam by applying a two-step voltage to the deflector; and a control unit configured to control the optical axis adjusting unit such that an amount of the optical axis deviation is corrected.
    Type: Application
    Filed: March 3, 2010
    Publication date: September 9, 2010
    Applicant: NuFlare Technology, Inc.
    Inventors: Michihiro Sakai, Ryoichi Kakehi, Kiyoshi Hattori
  • Publication number: 20100224592
    Abstract: Electron-beam-induced chemical reactions with precursor gases are controlled by adsorbate depletion control. Adsorbate depletion can be controlled by controlling the beam current, preferably by rapidly blanking the beam, and by cooling the substrate. The beam preferably has a low energy to reduce the interaction volume. By controlling the depletion and the interaction volume, a user has the ability to produce precise shapes.
    Type: Application
    Filed: March 8, 2010
    Publication date: September 9, 2010
    Applicant: FEI COMPANY
    Inventors: MILOS TOTH, Richard J. Young, Alexander Henstra, Alan Frank de Jong, Johannes Jacobus Lambertus Mulders
  • Patent number: 7791049
    Abstract: A beam line before incidence on a beam scanner is arranged with an injector flag Faraday cup that detects a beam current by measuring a total beam amount of an ion beam to be able to be brought in and out thereto and therefrom. When the ion beam is shut off by placing the injector flag Faraday cup on a beam trajectory line, the ion beam impinges on graphite provided at the injector flag Faraday cup. At this occasion, even when the graphite is sputtered by the ion beam, since the injector flag Faraday cup is arranged on an upstream side of the beam scanner and the ion beam is shut off by the injector flag Faraday cup, particles of the sputtered graphite do not adhere to a peripheral member of the injector flag Faraday cup.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: September 7, 2010
    Assignee: Sen Corporation an SHI and Axcelis Company
    Inventors: Mitsukuni Tsukihara, Yoshito Fujii
  • Patent number: 7777195
    Abstract: A charged particle beam instrument (10) is provided, the instrument comprising a charged particle optical column (12), a voltage source, a detector (14) and a sample holder (18), the column (12) being operable to direct a beam of primary charged particles at a sample (20) on the sample holder (18) to cause secondary charged particles to be released from the sample, the voltage source being operable to establish in the vicinity of the sample an electric field that has a component that draws the secondary charged particles towards the detector (14), and the detector being operable to detect secondary charged particles, wherein the instrument further comprises a further voltage source (16) variable between a first voltage that establishes a component of the electric field that draws the secondary charged particles away from the sample, so as to prevent at least some of them from colliding with the sample (20) or sample holder (18), and a second voltage that establishes a component of the electric field that draw
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: August 17, 2010
    Assignee: Carl Zeiss SMT Limited
    Inventor: Armin Heinz Hayn
  • Patent number: 7777205
    Abstract: An electron beam lithography method is provided for sequentially irradiating an electron beam deflected by a deflector on a shot-by-shot basis to draw a pattern on a surface of a sample mounted on a stage. This method includes the step of irradiating the electron beam on the sample surface as a combination of shots each irradiated in one of rectangular or square regions having the same area and different shapes, in order to draw a correction pattern. This method also includes the steps of correcting the shape of the electron beam based on the drawn correction pattern, and drawing a pattern using the shape-corrected electron beam.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: August 17, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Rieko Nishimura
  • Patent number: 7777201
    Abstract: For maskless irradiating a target with a beam of energetic electrically charged particles using a pattern definition means with a plurality of apertures and imaging the apertures in the pattern definition means onto a target which moves (v) relative to the pattern definition means laterally to the axis, the location of the image is moved along with the target, for a pixel exposure period within which a distance of relative movement of the target is covered which is at least a multiple of the width (w) of the aperture images as measured on the target, and after said pixel exposure period the location of the beam image is changed, which change of location generally compensates the overall movement of the location of the beam image.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: August 17, 2010
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer
  • Publication number: 20100193472
    Abstract: A gas cluster ion beam (GCIB) processing system using multiple nozzles for forming and emitting at least one GCIB and methods of operating thereof are described. The GCIB processing system may be configured to treat a substrate, including, but not limited to, doping, growing, depositing, etching, smoothing, amorphizing, or modifying a layer thereupon. Furthermore, the GCIB processing system may be operated to produce a first GCIB and a second GCIB, and to irradiate a substrate simultaneously and/or sequentially with the first GCIB and second GCIB.
    Type: Application
    Filed: March 26, 2010
    Publication date: August 5, 2010
    Applicant: TEL EPION INC.
    Inventors: Martin D. Tabat, Matthew C. Gwinn, Robert K. Becker, Avrum Freytsis, Michael Graf
  • Patent number: 7767986
    Abstract: An electrode assembly for use with an ion source chamber or as part of an ion implanter processing system to provide a uniform ion beam profile. The electrode assembly includes an electrode having an extraction slot with length L aligned with an aperture of the ion source chamber for extracting an ion beam. The electrode includes a plurality of segments partitioned within the length of the extraction slot where each of the segments is configured to be displaced in at least one direction with respect to the ion beam. A plurality of actuators are connected to the plurality of electrode segments for displacing one or more of the segments. By displacing at least one of the plurality of electrode segments, the current density of a portion of the ion beam corresponding to the position of the segment within the extraction slot is modified to provide a uniform current density beam profile associated with the extracted ion beam.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 3, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Rajesh Dorai, Peter F. Kurunczi, Alexander S. Perel, Wilhelm P. Platow
  • Publication number: 20100187435
    Abstract: A particle beam irradiation apparatus includes: a beam generation unit that generates a particle beam; a beam emission control unit that controls emission of the particle beam; a beam scanning instruction unit that sequentially two-dimensionally instructs a position of the particle beam so that the particle beam is scanned across the entire slice; a beam scanning unit that two-dimensionally scans the particle beam; a respiration gate generation unit that generates a respiration gate synchronized with a respiration cycle of the patient; and a pulse generation unit that generates a predetermined number of scanning start pulses at substantially equally spaced time intervals in the respiration gate. The beam scanning instruction unit instructs to scan the entire slice by pattern irradiation based on a set dose from each of the scanning start pulses so that a scan of the same slice is repeated the predetermined number of times.
    Type: Application
    Filed: September 12, 2008
    Publication date: July 29, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yasushi Iseki, Katsushi Hanawa
  • Patent number: 7763867
    Abstract: A particle therapy system, method and device for requesting a particle beam is provided. The particle therapy system includes an accelerator that accelerates particles; a particle beam directing unit that directs particles to at least one irradiation location; and an assignment unit that assigns and monitors the correct particle beam direction. At least one of the irradiation locations has a control unit connected via a first link to the assignment unit and is operable to request a particle beam for an irradiating operation, so that the presence of the request signal at a signal input of the assignment unit establishes the requesting irradiation location.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: July 27, 2010
    Assignee: Siemens Aktiengesellschaft
    Inventors: Denis Birgy, Harald Breuninger
  • Patent number: 7763851
    Abstract: In a particle-beam apparatus for irradiating a target, a pattern defined in a pattern definer is projected onto the target through a projection system by a beam of energetic electrically charged particles of, largely, a species of a nominal mass having a nominal kinetic energy. To generate the beam, a particle source, a velocity-dependent deflector and an illumination optics system are provided. The velocity-dependent deflector includes a transversal dipole electrical field and/or a transversal dipole magnetic field, which act upon the particles so as to causing a deviation of the path of the particles with regard to the paths of the nominal species which is dependent on the velocity of the particles. A delimiter is provided as a component of the pattern definer or, preferably, the projection system, serving to remove particles whose paths are deviating from the nominal path.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: July 27, 2010
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Publication number: 20100181494
    Abstract: The present embodiments relate to lowering the widening of a radiation beam, for example, using a chamber arranged between a beam output and an object to be irradiated. The chamber is filled with a gas or a gas mixture, the average atomic number of which is smaller than that of air, and the volume expansion of which is changeable. The advantage here is that a widening of a radiation beam caused by multiple scattering is reduced.
    Type: Application
    Filed: November 12, 2009
    Publication date: July 22, 2010
    Inventor: Detlef Mattern
  • Patent number: 7759659
    Abstract: A writing method includes emitting a first charged particle beam formed to be a first shape by passing through a first shaping aperture and a second shaping aperture, onto a target workpiece; and emitting a second charged particle beam formed to be a second shape by passing through the first shaping aperture and the second shaping aperture, wherein the second charged particle beam is superimposed onto a same position exposed by the first charged particle beam and is formed by using an opposite sides of respective first and second shaping apertures to those used for the first shape.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: July 20, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Takayuki Abe, Tetsuo Yamaguchi, Fumio Hide
  • Patent number: 7759653
    Abstract: The present invention includes an electron beam device for examining defects on semiconductor devices. The device includes an electron source for generating a primary electron beam, wherein the total acceleration potential is divided and is provided across the ground potential. Also included is at least one condenser lens for pre-focusing the primary electron beam, an aperture for confining the primary electron beam to ameliorate electron-electron interaction, wherein the aperture is positioned right underneath the last condenser lens, and a SORIL objective lens system for forming immersion magnetic field and electrostatic field to focus the primary beam onto the specimen in the electron beam path. A pair of grounding rings for providing virtual ground voltage potential to those components within the electron beam apparatus installed below a source anode and above a last polepiece of the SORIL objective lens.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: July 20, 2010
    Assignee: Hermes Microvision, Inc.
    Inventors: Zhong-Wei Chen, Xuedong Liu, Xu Zhang, Weiming Ren, Juying Dou
  • Patent number: 7755066
    Abstract: Techniques for uniformity tuning in an ion implanter system are disclosed. In one particular exemplary embodiment, the techniques may be realized as a method for ion beam uniformity tuning. The method may comprise generating an ion beam in an ion implanter system. The method may also comprise measuring a first ion beam current density profile along an ion beam path. The method may further comprise measuring a second ion beam current density profile along the ion beam path. In addition, the method may comprise determining a third ion beam current density profile along the ion beam path based at least in part on the first ion beam current density profile and the second ion beam current density profile.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: July 13, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Morgan D. Evans
  • Patent number: 7750311
    Abstract: A three-dimensional detector module for use in detecting annihilation photons generated by positrons emitted from radio-labeled sites within a body is formed from multiple solid state photo-detectors attached to one or more scintillators. Each photo-detector can be attached to a scintillator to form a photo-detector/scintillator combination and multiple photo-detector/scintillator combinations can be arranged in an array. Alternatively, multiple photo-detectors can be attached to the surface of a single scintillator to form an array. Multiple arrays are then stacked to form a photo-detector module. The modules can then be assembled to form a sheet of photo-detector modules. Multiple sheets or multiple modules can then be arranged around a body to detect emissions from radio-labeled sites in the body.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: July 6, 2010
    Assignee: Intramedical Imaging, LLC
    Inventor: Farhad Daghighian
  • Publication number: 20100140494
    Abstract: A collimator magnet (CM) usable in an ion implantation system provides an exit ion beam with a large aperture, substantially parallel in one plane or orthogonal planes. The CM includes identical poles, defined by an incident edge receiving an ion beam, and an exit edge outputting the ion beam for implantation. Ion beam deflection takes place due to magnetic forces inside the CM and magnetic field fringe effects outside the CM. The CM incident and/or exit edge is shaped by solving a differential equation to compensate for magnetic field fringe effects and optionally, space charge effects and ion beam initial non-parallelism. The CM shape is obtained by imposing that the incidence or exit angle is substantially constant, or, incidence and exit angles have opposite sign but equal absolute values for each ray in the beam; or the sum of incidence and exit angles is a constant or a non-constant function.
    Type: Application
    Filed: December 5, 2008
    Publication date: June 10, 2010
    Applicant: NISSIN ION EQUIPMENT CO., LTD.
    Inventor: Dan NICOLAESCU
  • Publication number: 20100127185
    Abstract: In a maskless particle multibeam processing apparatus, a particle beam is projected through a pattern definition system producing a regular array of beamlets according to a desired pattern, which is projected onto a target which moves at continuous speed along a scanning direction with respect to the pattern definition system. During a sequence of uniformly timed exposure steps the beam image is moved along with the target along the scanning direction, and between exposure steps the location of the beam image is changed with respect to the target. During each exposure step the target covers a distance greater than the mutual distance of neighboring image elements on the target.
    Type: Application
    Filed: November 16, 2009
    Publication date: May 27, 2010
    Applicant: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Robert Nowak, Adrian Bürli
  • Patent number: 7723683
    Abstract: An aberration correction system for use in an electron microscope and which produces a negative spherical aberration and corrects a higher-order aberration. The aberration correction system has three stages of multipole elements which, respectively, produce fields of 3-fold symmetry with respect to the optical axis. Any two stages of multipole elements are disposed in directions not to cancel out the 3-fold fields. However, the three stages of multipole elements are so disposed as to cancel out 3-fold astigmatisms.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: May 25, 2010
    Assignee: Jeol Ltd.
    Inventor: Hidetaka Sawada
  • Patent number: 7709818
    Abstract: To ensure irradiation accuracy and safety, even when an irradiation device employing a different irradiation method is used, disclosed is herein a charged particle beam irradiation apparatus that irradiates an irradiation target with charged particle beams includes: a charged particle beam generator for generating the charged particle beams; a passive scattering irradiation device and a scanning irradiation device, both for irradiating the irradiation target with the charged particle beams; a beam transport system for transporting the charged particles beam extracted from the charged particle beam generator, to selected one of the two irradiation devices; and a central controller that modifies operating parameters on the charged particle beam generator, according to the irradiation method adopted for the selected irradiation device.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: May 4, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Koji Matsuda, Kazuo Hiramoto, Kunio Moriyama
  • Patent number: 7705321
    Abstract: A charged particle beam writing apparatus includes a stage on which a target object is placed and which moves in a predetermined direction, a first column configured to irradiate a first charged particle beam on a writing region of the target object, a second column which is located at the back of the first column in the predetermined direction and configured to irradiate a second charged particle beam on the writing region of the target object, and a sensor configured to measure a height level of the target object at any one of a position in front of a position where the first column irradiates the first charged particle beam in the predetermined direction and a position almost immediately under the position where the first charged particle beam is irradiated.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: April 27, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 7705322
    Abstract: The present invention provides an electron beam writing method capable of suppressing a variation in position to be irradiated with an electron beam due to its drift and writing a predetermined pattern. A positional displacement amount near the center of each main deflection area of the charged-particle beam is determined. Correction values are determined from a plurality of the positional displacement amounts. A position irradiated with the charged-particle beam is corrected from the correction values. The neighborhood of the center of the main deflection area can be a sub deflection area including the center of the main deflection area. In this case, the positional displacement amount can be one for one arbitrary point in the sub deflection area. Alternatively, the positional displacement amount can also be the average of positional displacement amounts at a plurality of arbitrary points in the sub deflection area.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: April 27, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Rieko Nishimura, Takashi Kamikubo
  • Patent number: 7705328
    Abstract: A ribbon ion beam system, comprising an ion source configured to generate a ribbon ion beam along a first beam path, wherein the ribbon ion beam enters a mass analysis magnet having a height dimension (h1) and a long dimension (w1) that is perpendicular to an xy plane, wherein the mass analysis magnet is configured with its momentum dispersive xy plane to receive the ribbon ion beam and to provide magnetic fields to transmit the ribbon ion beam along a second beam path, wherein the ribbon ion beam exiting the mass analysis magnet is divergent in the non-dispersive xz plane and convergent in the xy plane, a mass selection slit for receiving the divergent ribbon ion beam and selecting desired ion species of the ribbon ion beam exiting the mass analysis magnet, an angle correction device configured to receive the divergent ribbon ion beam exiting the mass selection slit into a parallel ribbon ion beam in the horizontal xz plane and a diverging ribbon ion beam in an xy plane along a third beam path, and wherein t
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: April 27, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Shu Satoh, Manny Sieradzki
  • Patent number: 7700930
    Abstract: A lithographic apparatus including a filter device is disclosed. The filter device has a plurality of foils attached to a holder which is able to rotate around a rotation axis. The foils are arranged substantially parallel to the rotation axis. The foils comprise a uni-directional carbon-fiber composite material selected from the group consisting of carbon-carbon composite (C-C composite) and carbon-silicon carbide composite (C—SiC composite). During operation, the filter device rotates and filters out debris from a radiation source, such as a Sn plasma source. Such a filter device per se may be provided.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: April 20, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Edwin Johan Buis, Tjarko Adriaan Rudolf Van Empel
  • Publication number: 20100090123
    Abstract: An inexpensive scanning irradiation device of a particle beam is obtained without using a rotating gantry. A first scanning electromagnet and a second scanning electromagnet, whose deflection surfaces of the particle beam are the same, and which bend the particle beam having an incident beam axis angle of approximately 45 degrees relative to a horizontal direction in reverse directions to each other; an electromagnet rotation driving mechanism which integrates the first and the second scanning electromagnets and rotates these scanning electromagnets around the incident beam axis; and a treatment bed are provided. The particle beam deflected by the first and the second scanning electromagnets can be obtained at a range of ?45 degrees to +45 degrees in deflection angle from an incident beam axis direction.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 15, 2010
    Applicants: MITSUBISHI ELECTRIC CORPORATION, SHIZUOKA PREFECTURE
    Inventors: Shinya Tajiri, Yuichi Yamamoto, Yuehu Pu, Haruo Yamashita
  • Patent number: 7696488
    Abstract: An irradiating device and a method for controlling it are provided. The device comprises an electron accelerator and a scanning box connected to the electron accelerator, wherein the scanning box is provided with a target, an electron beam exit window positioned at left or right side of the target and a scanning magnet. The device integrates the functions of both the existing irradiating device outputting electron beams and those outputting X-rays. When the scanning magnet is in operation, the irradiating device outputs electron beams; and when the scanning magnet is not in operation, the irradiating device outputs X-rays. Therefore, the device is capable of outputting two radiation sources so as to meet requirements for radiation-processing articles with different sizes.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: April 13, 2010
    Assignees: Nuctech Company Limited, Tsinghua University
    Inventors: Yaohong Liu, Huaping Tang, Xinshui Yan, Jianjun Gao, Feng Gao, Dongsheng Zhang, Xiaotian Liang, De Wei, Jinsheng Liu, Wei Jia, Wei Yin, Dan Zhang, Chong Gu, Qinghui Zhang
  • Patent number: 7696494
    Abstract: A steering component is included in an ion implantation system to direct or “steer” an ion beam to a scan vertex of a scanning component downstream of the steering component. In this manner, the scan vertex of the scanning component coincides with the focal point of a parallelizing component downstream of the scanning component. This allows the beam to emerge from the parallelizing component at an expected angle so that ions can be implanted in a desired manner into a workpiece located downstream of the parallelizing component.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: April 13, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: Bo H. Vanderberg, Xiangyang Wu
  • Patent number: 7692144
    Abstract: A method and apparatus for assessing a height of a specimen includes an electron beam unit having an electron beam source, lenses, a table for setting a specimen and controllable in a height direction, and a detector, and a height detection system for detecting height of the specimen set on the table while the specimen is irradiated by an electron beam. The height detection system further includes an illumination system, a collection system, first and second detectors, a device configured to receive output signals from the first and second detectors while the specimen is irradiated by the electron beam and to generate a comparison signal from the output signals, wherein the comparison signal is responsive to the height of the specimen.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: April 6, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Masahiro Watanabe, Takashi Hiroi, Maki Tanaka, Hiroyuki Shinada, Yasutsugu Usami
  • Patent number: 7683320
    Abstract: In a transmission electron microscope, an electron beam flux of a cross section constricted conically over a reference specimen is made to hit the reference specimen. The flux includes electron beams hitting the specimen at incident angles which spread conically in the direction of irradiation. The beams are focused onto a fluorescent screen at positions which are different in distance from the center according to the incident angles. A transmission image of the beam flux is gained. A Fourier transform is performed for each of inspection regions set on the transmission image. Aberration coefficients C1, C2, . . . , Ci are calculated from the obtained, Fourier-transformed images by image processing. Aberration in the imaging lenses is corrected. Consequently, the aberration can be corrected by finding the aberration coefficients C1, C2, . . . , Ci from only one transmission image. The number of transmission images or the acquisition time is reduced.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: March 23, 2010
    Assignee: Jeol Ltd.
    Inventors: Takumi Sannomiya, Yukihito Kondo
  • Publication number: 20100065753
    Abstract: With a multi-beam type charged particle beam apparatus, and a projection charged particle beam apparatus, in the case of off-axial aberration corrector, there is the need for preparing a multitude of multipoles, and power supply sources in numbers corresponding to the number of the multipoles need be prepared. In order to solve this problem as described, a charged particle beam apparatus is provided with at least one aberration corrector wherein the number of the multipoles required in the past is decreased by about a half by disposing an electrostatic mirror in an electron optical system.
    Type: Application
    Filed: September 4, 2009
    Publication date: March 18, 2010
    Inventors: Momoyo ENYAMA, Hiroya Ohta, Osamu Kamimura
  • Patent number: 7675050
    Abstract: An ion implantation apparatus with multiple operating modes is disclosed. The ion implantation apparatus has an ion source and an ion extraction means for extracting a ribbon-shaped ion beam therefrom. The ion implantation apparatus includes a magnetic analyzer for selecting ions with specific mass-to-charge ratio to pass through a mass slit to project onto a substrate. Multipole lenses are provided to control beam uniformity and collimation. A two-path beamline in which a second path incorporates a deceleration or acceleration system incorporating energy filtering is disclosed. Finally, methods of ion implantation are disclosed in which the mode of implantation may be switched from one-dimensional scanning of the target to two-dimensional scanning.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: March 9, 2010
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventor: Jiong Chen
  • Patent number: 7675048
    Abstract: A wafer handling robot, ion implanter system including a wafer handling robot and a related method are disclosed. An ion implanter system may include an ion implanting station including a load lock coupled thereto; a wafer handling robot located at least partially within the load lock, the wafer handling robot including an end effecter for handling at least one wafer, and a motor for moving the end effecter vertically; and a sensor positioned within the load lock to determine a vertical position of the end effecter.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: March 9, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Brant S. Binns, Kevin Daniels, Robert A. Poltras
  • Patent number: 7675047
    Abstract: A technique for shaping a ribbon-shaped ion beam is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus for shaping a ribbon-shaped ion beam. The apparatus may comprise an electrostatic lens having a substantially rectangular aperture for a ribbon-shaped ion beam to pass through, wherein a plurality of focusing elements are positioned along short edges of the aperture, and wherein each focusing element is separately biased and oriented to shape the ribbon-shaped ion beam.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 9, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Svetlana B. Radovanov, Peter L. Kellerman, Victor M. Benveniste, Robert C. Lindberg, Kenneth H. Purser, Tyler B. Rockwell, James S. Buff, Anthony Renau
  • Patent number: 7671333
    Abstract: An apparatus for observing a sample (1) with a TEM column and an optical high resolution scanning microscope (10). The sample position when observing the sample with the TEM column differs from the sample position when observing the sample with the optical microscope in that in the latter case the sample is tilted towards the light-optical microscope. By using an optical microscope of the scanning type, and preferably using monochromatic light, the lens elements (11) of the optical microscope facing the sample position can be sufficiently small to be positioned between the pole faces (8A, 8B) of the (magnetic) particle-optical objective lens (7). This is in contrast with the objective lens systems conventionally used in optical microscopes, which show a large diameter. Furthermore the optical microscope, or at least the parts (11) close to the sample, may be retractable so as to free space when imaging in TEM mode.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: March 2, 2010
    Assignee: FEI Company
    Inventors: Alexandra Valerievna Agronskaja, Hans Casper Gerritsen, Adrianus Johannes Verkleij, Abraham Johannes Koster
  • Publication number: 20100044578
    Abstract: The invention relates to a charged particle beam lithography system comprising: a charged particle optical column arranged in a vacuum chamber for projecting a charged particle beam onto a target, wherein the column comprises deflecting means for deflecting the charged particle beam in a deflection direction, a target positioning device comprising a carrier for carrying the target, and a stage for carrying and moving the carrier along a first direction, wherein the first direction is different from the deflection direction, wherein the target positioning device comprises a first actuator for moving the stage in the first direction relative to the charged particle optical column, wherein the carrier is displaceably arranged on the stage and wherein the target positioning device comprises retaining means for retaining the carrier with respect to the stage in a first relative position.
    Type: Application
    Filed: August 17, 2009
    Publication date: February 25, 2010
    Inventors: Jerry Peijster, Guido de Boer
  • Patent number: 7663125
    Abstract: An ion beam current uniformity monitor, ion implanter and related method are disclosed. In one embodiment, the ion beam current uniformity monitor includes an ion beam current measurer including a plurality of measuring devices for measuring a current of an ion beam at a plurality of locations; and a controller for maintaining ion beam current uniformity based on the ion beam current measurements by the ion beam current measurer.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: February 16, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: William G. Callahan, Morgan D. Evans, George M. Gammel, Norman E. Hussey, Gregg A. Norris, Joseph C. Olson
  • Patent number: 7659521
    Abstract: A system for an intensity-modulated proton therapy of a predetermined target volume within an object includes a proton source to generate a proton beam, a number of proton beam forming units and a beam nozzle having an outlet for the proton beam to penetrate the predetermined target volume of the object, thereby defining a cross sectional scanning exit area. The system includes further a beam bending magnet disposed upstream of the nozzle, and an x-ray tube and an x-ray imager. The x-ray tube is associated with an irradiation channel within the beam bending magnet, wherein the irradiation channel is oriented along the prolongation of the nominal proton beam direction thereby delivering the x-ray beam along the proton beam direction.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: February 9, 2010
    Assignee: Paul Scherrer Institute
    Inventor: Eros Pedroni
  • Patent number: 7659507
    Abstract: Axial adjustments of an aberration corrector are made roughly. Whenever plural values of voltage are applied to an electrode in the first stage of the corrector, a different value of voltage is applied to the electrodes in the stage whose center is passed through by the reference orbit in the aberration corrector. At this time, a scanning deflector scans the electron beam over a specimen, producing a scanned image signal. Based on the scanned image signal, the amounts of positional deviations of the image are calculated. Based on the calculated amounts of positional deviations and on the voltages applied to the electrode in the first stage, an optimum value of voltage is calculated and fed back to the electrode in the first stage of the corrector.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: February 9, 2010
    Assignee: Jeol Ltd.
    Inventor: Shuji Kawai
  • Patent number: 7655905
    Abstract: Charged particle beam equipment having a rotary mechanism in which shift of the observing/machining position incident to the rotary operation of the equipment having the rotary mechanism can be corrected conveniently with high precision in a plane perpendicular to the optical axis of the optical system of charged particle beam or in a slightly inclining plane. An X-Y shift incident to rotation in a plane is determined from the angular information of a rotary mechanism such as a sample holder, diaphragms or biprisms in the charged particle beam equipment, and then driving or controlling is performed to cancel the X-Y shift.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: February 2, 2010
    Assignee: Riken
    Inventors: Ken Harada, Tetsuya Akashi, Yoshihiko Togawa, Tsuyoshi Matsuda, Noboru Moriya
  • Patent number: 7652270
    Abstract: Techniques for ion beam current measurement using a scanning beam current transformer are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for ion beam current measurement using a transformer. The apparatus may comprise a measurement device positioned adjacent a wafer and an ion dose control module coupled to the measurement device. The measurement device may comprise a transformer through which an ion beam passes onto the wafer. The ion dose control module may calculate ion beam current passing through the transformer and adjust dose based at least in part upon the calculated ion beam current.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: January 26, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Joseph P. Dzengeleski, Costel Biloiu
  • Publication number: 20100015537
    Abstract: A beam dose computing method includes specifying a matrix of rows and columns of regions as divided from a surface area of a target object to include first, second and third regions of different sizes, the third regions being less in size than the first and second regions, determining first corrected doses of a charged particle beam for correcting fogging effects in the first regions, determining corrected size values for correcting pattern line width deviations occurring due to loading effects in the second regions, using said corrected size values in said second regions to create a map of base doses of the beam in respective ones of said second regions, using said corrected size values to prepare a map of proximity effect correction coefficients in respective ones of said second regions, using the maps to determine second corrected doses of said beam for correction of proximity effects in said third regions, and using the first and second corrected doses to determine an actual beam dose at each position on
    Type: Application
    Filed: September 24, 2009
    Publication date: January 21, 2010
    Applicant: NuFlare Technology, Inc.
    Inventors: Keiko EMI, Junichi Suzuki, Takayuki Abe, Tomohiro Iljima, Jun Yashima