Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Publication number: 20110291021
    Abstract: One embodiment disclosed relates to an apparatus for reflection electron beam lithography. The apparatus includes an electron source, a patterned electron reflector generator structure, a stage, a demagnifying electron lens, and an ExB separator. The ExB separator configured to bend a trajectory of the electron beam towards the dynamic pattern generator structure. The patterned electron reflector structure is configured to reflect select portions of the electron beam so as to form a patterned electron beam. The ExB separator is further configured to allow the patterned electron beam to pass straight through towards the demagnifying electron lens. The demagnifying electron lens is configured to demagnify the patterned electron beam and project the demagnified patterned electron beam onto the target substrate. The apparatus disclosed herein has a straight projection axis and substantially reduces the electron beam path by a factor of three-to-one (compared to a prior apparatus which uses a magnetic prism).
    Type: Application
    Filed: May 28, 2010
    Publication date: December 1, 2011
    Inventor: Paul F. PETRIC
  • Patent number: 8067753
    Abstract: A Z stage is placed on an XY stage in avoidance of an area to which a mark table is fixed. The mask M is placed on a holding mechanism provided on the Z stage. A middle value of the range adjustable by the focal adjustment mechanism is made coincident with the height of the mark table. The height of the mark table is measured and the heights of plural measurement points of the mask M are measured. The Z stage is moved in such a manner that the height of a middle value between highest and lowest values of the heights of these measurement points coincides with the height of the mark table.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: November 29, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Takanao Touya
  • Patent number: 8063388
    Abstract: Provided is an ion implantation apparatus including a disk which rotates about a first axis, a pad which is rotatable about a second axis on the disk, and on which a substrate is placed with a holder attached to a circumference of the substrate, the holder including a weight, fixing pins which are each fixedly provided on a portion on the disk around the pad, a sliding piece which slides, by its own centrifugal force, on the disk with a rotational movement of the disk and thereby clamps the holder in cooperation with the fixing pins, and an ion beam generator which irradiates the substrate with ion beams.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: November 22, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hidenori Takahashi
  • Patent number: 8063389
    Abstract: A method of performing an ion implantation is provided. A workpiece is installed in the ion implanter. A wafer is provided in a receiving space within an ion implanter. An ion beam is generated by an ion source of the ion implanter. The bombard of the ion beam is blocked and particles generated during or after conducting the step of generating the ion beam are collected by the workpiece.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: November 22, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Jui-Fang Chen, Cheng-Hung Chang, Chung-Jung Chen, Chih-Ming Yang, Chien-Kuo Ko
  • Patent number: 8053746
    Abstract: The present invention relates to an irradiation device for irradiating an irradiation object with heavy charged particles at an irradiation station, comprising a particle accelerator for providing a particle beam and a swivelling device for swivelling the particle beam impinging on the irradiation object, wherein the swivelling device comprises a carrier pivotable about an axis. In accordance with the invention, the irradiation device is characterized in that the particle accelerator is mounted on the pivotable carrier.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: November 8, 2011
    Assignee: Varian Medical Systems Particle Therapy GmbH
    Inventors: Jan Hein Timmer, Holger Goebel, Stefan Schmidt, Juergen Heese, Michael Schillo
  • Patent number: 8049190
    Abstract: When writing the shapes of elements of a fine pattern on a substrate by microscopically vibrating the electron beam back and forth in a radial direction of the substrate or in a direction orthogonal to the radial direction and deflecting the electron beam in a direction orthogonal to the vibration direction to scan the electron beam so as to completely fill the shapes of the elements, a proximity-effect correction is performed according to the arrangement density of the elements in which the amount of dose is adjusted by setting the deflection speed faster for the writing of an element in a densely arranged region than for the writing of an identical element in a sparsely arranged region.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: November 1, 2011
    Assignee: Fujifilm Corporation
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 8049344
    Abstract: A semiconductor apparatus according to the present invention includes one or a plurality of pairs of a standard pattern and an offset pattern formed therein with respect to the standard pattern as manufacturing information and other information at an information writing position, which is visible from the outside, of each semiconductor chip on a wafer.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: November 1, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yasunori Kitamura
  • Patent number: 8049181
    Abstract: A lithography method and system have means for determining a convergence value dc from a relation of beam current to beam position drift (or beam dimension drift) produced in the past; means for finding a beam current i(t) as a function of the convergence value dc of beam position drift (or beam dimension drift), a measured value dm of beam position drift (or beam dimension drift), a gain constant g, and a convergence value c of beam position drift (or beam dimension drift) per unit beam current and using an equation given by i(t)={(1+g)·dc?g·dm(t)}/c; means for making a check regarding dm and dc as to whether dm approaches dc and, thus, a relationship given by |dm?dc|<? holds, where ? is a positive number providing a decision criterion under the condition where the gain constant g of the beam current i(t) satisfies a relationship given by g>0.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: November 1, 2011
    Assignee: JEOL Ltd.
    Inventor: Kazuya Goto
  • Patent number: 8050380
    Abstract: A zone-optimized mirror (MZ) for reflecting extreme ultraviolet (EUV) or X-ray radiation (18) includes a reflective surface (S) having two or more substantially discrete zones (Z1, Z2, . . . Zn) that include respective coatings (C1, C2, . . . Cn). Each coating is configured to optimally reflect a select range of incident angles of the radiation incident thereon. An EUV optical system (10) and an EUV lithography system (200) that includes at least one zone-optimized mirror are also disclosed.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: November 1, 2011
    Assignee: Media Lario, S.r.L.
    Inventors: Fabio Zocchi, Jacques Kools
  • Patent number: 8049188
    Abstract: The present invention relates to a method of cleaning a surface at least partly covered with contaminant or undesired material by applying atomic hydrogen. The invention also proposes an irradiation unit adapted to perform the cleaning method. In the present method the atomic hydrogen is generated by dissociation of molecular hydrogen directed to a surface containing catalytic material, which causes the dissociation of at least a part of the molecular hydrogen to atomic hydrogen. The surface with the catalytic material is arranged close to the surface to be cleaned and is dimensioned such that its total surface area is at least twice the surface area of the to be cleaned surface region. The method allows for the cleaning of the surface region in a constructive simple and efficient manner.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: November 1, 2011
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Christof Metzmacher, Achim Weber
  • Patent number: 8049180
    Abstract: An ion beam device is described. The ion beam device includes an ion beam source for generating an ion beam, the ion beam being emitted along a first axis, an aperture unit adapted to shape the ion beam, and an achromatic deflection unit adapted to deflect ions of the ion beam having a predetermined mass by a deflecting angle. The achromatic deflection unit includes: an electric field generating component for generating an electric field, and a magnetic field generating component for generating a magnetic field substantially perpendicular to the electric field. The device further includes a mass separation aperture adapted for blocking ions with a mass different from the predetermined mass and for allowing ions having the predetermined mass to trespass the mass separator, and an objective lens having a second optical axis, wherein the second optical axis is inclined with regard to the first axis.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: November 1, 2011
    Assignee: ICT, Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik MBH
    Inventors: Juergen Frosien, Helmut Banzhof
  • Patent number: 8049189
    Abstract: A charged particle system comprises a particle source for generating a beam of charged particles and a particle-optical projection system. The particle-optical projection system comprises a focusing first magnetic lens (403) comprising an outer pole piece (411) having a radial inner end (411?), and an inner pole piece (412) having a lowermost end (412?) disposed closest to the radial inner end of the outer pole piece, a gap being formed by those; a focusing electrostatic lens (450) having at least a first electrode (451) and a second electrode (450) disposed in a region of the gap; and a controller (C) configured to control a focusing power of the first electrostatic lens based on a signal indicative of a distance of a surface of a substrate from a portion of the first magnetic lens disposed closest to the substrate.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: November 1, 2011
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Herbert Buschbeck, Elmar Platzgummer, Gerhard Stengl, Herbert Vonach
  • Patent number: 8044372
    Abstract: Continuous wave laser apparatus with enhanced processing efficiency is provided as well as a method of manufacturing a semiconductor device using the laser apparatus. The laser apparatus has: a laser oscillator; a unit for rotating a process object; a unit for moving the center of the rotation along a straight line; and an optical system for processing laser light that is outputted from the laser oscillator to irradiate with the laser light a certain region within the moving range of the process object. The laser apparatus is characterized in that the certain region is on a line extended from the straight line and that the position at which the certain region overlaps the process object is moved by rotating the process object while moving the center of the rotation along the straight line.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: October 25, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Koichiro Tanaka, Hidekazu Miyairi, Aiko Shiga, Akihisa Shimomura, Mai Akiba
  • Patent number: 8044374
    Abstract: A hydrogen ion implanter for the exfoliation of silicon from silicon wafers uses a large scan wheel carrying 50+ wafers around its periphery and rotating about an axis. In one embodiment, the axis of rotation of the wheel is fixed and the wheel is formed with tensioned spokes supporting a rim carrying the wafer supports. The spokes may be used for carrying cooling fluid to and from the wafer supports. In one embodiment, a ribbon beam of hydrogen ions is directed down on a peripheral edge of the wheel. The ribbon beam extends over the full radial width of wafers on the wheel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 25, 2011
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Geoffrey Ryding, Theodore H. Smick, Kenneth Harry Purser, Hilton Glavish, Joeph Daniel Gillespie
  • Patent number: 8044373
    Abstract: A lithographic apparatus is disclosed having a projection system housing supporting internally one or more lens elements, and a movement damper connected to the projection system housing, the movement damper configured to damp movement of the projection system housing at an eigenfrequency of at least one of the one or more lens elements and/or of the projection system housing.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: October 25, 2011
    Assignee: ASML Netherlands B.V.
    Inventor: Hans Butler
  • Patent number: 8039807
    Abstract: A part of exposure beam through a liquid (LQ) via a projection optical system (PL) enters a light-transmitting section (44), enters an optical member (41) without passing through gas, and is focused. The exposure apparatus receives the exposure light from the projection optical system to perform various measurements even if the numerical aperture of the projection optical system increases.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: October 18, 2011
    Assignee: Nikon Corporation
    Inventor: Osamu Tanitsu
  • Patent number: 8039822
    Abstract: The invention relates to a particle therapy apparatus having an accelerator for generating a particle beam, a passive energy modulator comprising an absorber element, and a control entity. The control entity is designed to switch between an active adjustment of the energy in the accelerator and a passive energy modulation by the energy modulator, for the purpose of changing the energy of the particle beam from a high energy level to a low energy level in a step-by-step manner. In particular, this has the effect of shortening the dead times when changing between the energy levels.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: October 18, 2011
    Assignee: Siemens Aktiengesellschaft
    Inventor: Eike Rietzel
  • Patent number: 8040146
    Abstract: There are provided an inspection apparatus and method that can locally perform sample temperature regulation, so that the sample drift can be suppressed. There are included a sample stage 109 that holds a semiconductor sample 118, multiple probes 106 used to measure electrical characteristics of a semiconductor device on the semiconductor sample 118, a power source that applies voltage and/or current to the probe 106, a detector that measures electrical characteristics of the semiconductor device on the sample with which the probe is brought into contact, and an electromagnetic wave irradiating mechanism that irradiates electromagnetic wave on a measurement section of the semiconductor sample 118.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: October 18, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Sunaoshi, Kouichi Kurosawa, Takeshi Sato, Masaaki Komori
  • Patent number: 8030625
    Abstract: When writing element shapes of a fine pattern on a substrate applied with a resist by scanning an electron beam thereon, ON/OFF control is performed for emitting the electron beam at a predetermined rotational position of the substrate by a blanking-OFF signal, performing writing in a rotational direction of the substrate along with the rotation of the substrate, and terminating the writing by a blanking-ON signal based on write data to perform writing for one round, and repeating the writing based on the ON/OFF control by moving the electron beam or substrate in a radial direction of the substrate and rotation control is performed for controlling the rotation speed of the rotation stage so as to be increased for inner track writing and decreased for outer track writing inversely proportional to the radius of the writing position.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: October 4, 2011
    Assignee: Fujifilm Corporation
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 8030626
    Abstract: An average write speed M is calculated by averaging write speeds for blocks of a tentative block size La, and write speed variation ? of the blocks with respect to the average write speed M is calculated (Step S12). A maximum speed Vmax is calculated by accelerating and then decelerating (or decelerating and then accelerating) a stage when moving the stage by the width of one of the blocks of the tentative block size La (Step S13). When the relationship “Vmax?M??” does not hold, the tentative block size La is increased (Step S15). When the relationship “Vmax?M??” holds, the tentative block size La is set as an optimal block size Lb (Step S16).
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: October 4, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hidekazu Takekoshi
  • Patent number: 8030624
    Abstract: The present invention provides a method and composition for illuminating a contoured surface, such as a vehicle having a receiving surface extending from a structural member. A method includes providing a heterogeneous mixture including a semiconductor nanocrystal complex and a dispersion media, with the complex generally including a middle layer extending between a core and an outer layer. The mixture may be applied to receiving surface which receives illumination having sufficient characteristics for illuminating the contoured surface, and added during the polymer processing. In addition, a vehicle illumination composition is provided in which a heterogeneous mixture of a semiconductor nanocrystal complex is immersed within a dispersion media, wherein the complex may further include a semiconductor nanocrystal core separated from an outer layer by a middle layer, and the heterogeneous mixture illuminates the contoured surface.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: October 4, 2011
    Assignee: GM Global Technology Operations LLC
    Inventors: Irina Kalish, Steven J. Magryta
  • Publication number: 20110233430
    Abstract: A process module for treating a dielectric film and, in particular, a process module for exposing, for example, a low dielectric constant (low-k) dielectric film to ultraviolet (UV) radiation is described. The process module includes a process chamber, a substrate holder coupled to the process chamber and configured to support a substrate, and a radiation source coupled to the process chamber and configured to expose the dielectric film to electromagnetic (EM) radiation. The radiation source includes a UV source, wherein the UV source has a UV lamp, and a reflector for directing reflected UV radiation from the UV lamp to the substrate. The reflector has a dichroic reflector, and a non-absorbing reflector disposed between the UV lamp and the substrate, and configured to reflect UV radiation from the UV lamp towards the dichroic reflector, wherein the non-absorbing reflector substantially prevents direct UV radiation from the UV lamp to the substrate.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hongyu YUE, Junjun LIU, Jacques FAGUET, Dorel I. TOMA
  • Patent number: 8026491
    Abstract: A charged particle beam apparatus facilitating adjusting a beam center axis of a charged particle beam in a case where optical conditions are modified or in a case where the beam center axis of the charged particle beam is moved due to state variation of the apparatus. When the beam center axis of a primary charged particle beam is adjusted with a deflector (aligner), a first processing step for measuring the sensitivity of the aligner and a second processing step for detecting the deviation between the center of the primary charged particle beam and the center of the objective aperture are provided. The charged particle beam apparatus determines the aligner set values, using the aligner sensitivity measured in the first processing step and the amount of deviation detected in the second processing step, such that the primary charged particle beam passes through the center of the objective aperture and controls the aligner using the aligner set values.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: September 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Ogashiwa, Mitsugu Sato, Atsushi Takane, Toshihide Agemura, Yuusuke Narita, Takeharu Shichiji, Shinichi Tomita, Sukehiro Ito, Junichi Katane
  • Publication number: 20110226967
    Abstract: An electron beam lithography apparatus includes a storage for storing data on a drawing pattern assigned a rank based on an accuracy required for a device pattern, a drawing pattern adjustment unit to generate data on divided drawing patterns based on the rank, a settlement wait time adjustment unit to determine a settlement wait time based on the rank, and a controller to draw the device pattern while irradiating an electron beam based on the data on the divided drawing patterns and the settlement wait time. The drawing pattern adjustment unit determines upper limits on the long-side length of a divided drawing pattern or on the area of the divided drawing pattern based on the rank, and divides the drawing pattern based on the upper limits.
    Type: Application
    Filed: May 25, 2011
    Publication date: September 22, 2011
    Inventors: Akio Yamada, Tomohiro Sakazaki, Hiroshi Yasuda
  • Patent number: 8022377
    Abstract: An apparatus for An apparatus for generating excimer radiation is provided. The apparatus includes a housing having a housing wall. An electrode is configured within the housing. A tubular body is around the electrode. The tubular body includes an outer wall and an inner wall. At least one inert gas is between the outer wall and the inner wall, wherein the housing wall and the electrode are configured to excite the inert gas to illuminate an excimer light for curing.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: September 20, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Muhammad M. Rasheed, Ellie Y. Yieh
  • Publication number: 20110222040
    Abstract: A contaminant trap is used in an EUV radiation source apparatus. An EUV radiation beam is generated and focused through a low pressure gaseous atmosphere into a virtual source point. The EUV radiation creates a plasma in the low pressure hydrogen atmosphere through which it passes. A contaminant trap including electrodes is located in or around radiation beam as it approaches the virtual source point. A DC biasing source is connected to the electrodes to create an electric field oriented to deflect out of the beam path contaminant particles that have been negatively charged by the plasma. Additional RF electrodes and/or an ionizer enhance the plasma to increase the charging of the particles. The deflecting electrodes can be operated with RF bias for a short time, to ensure dissipation of the enhanced plasma.
    Type: Application
    Filed: March 11, 2011
    Publication date: September 15, 2011
    Applicant: ASML Netherlands B.V.
    Inventors: Jens Arno STEINHOFF, Vadim Yevgenyevich BANINE, Richard Joseph BRULS, Erik Roelof LOOPSTRA, Hendrik Antony Johannes NEERHOF, Adrianus Johannes Maria VAN DIJK, Andrei Mikhailovich YAKUNIN, Luigi SCACCABAROZZI
  • Patent number: 8017922
    Abstract: An ion implantation method includes scanning reciprocatingly an ion beam in an X direction by an electric field or magnetic field and mechanically driving reciprocatingly a substrate in a Y direction orthogonal to the X direction to implant ions over the entire surface of the substrate. A dose distribution that is non-uniform within the plane of the substrate is formed within the plane of the substrate by changing at least one of a scanning speed of the ion beam and a driving speed of the substrate within an area where the ion beam is incident on the substrate.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: September 13, 2011
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventors: Takao Matsumoto, Nobuo Nagai
  • Patent number: 8008636
    Abstract: Ion implantation systems and scanning systems are provided, in which a focus adjustment component is provided to adjust a focal property of an ion beam to diminish zero field effects of the scanner upon the ion beam. The focal property may be adjusted in order to improve the consistency of the beam profile scanned across the workpiece, or to improve the consistency of the ion implantation across the workpiece. Methods are disclosed for providing a scanned ion beam to a workpiece, comprising scanning the ion beam to produce a scanned ion beam, adjusting a focal property of an ion beam in relation to zero field effects of the scanner upon the ion beam, and directing the ion beam toward the workpiece.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: August 30, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Edward C. Eisner
  • Patent number: 8008630
    Abstract: To increase a transport efficiency of an ion beam by correcting Y-direction diffusion caused by the space charge effect of the ion beam between an ion beam deflector, which separates the ion beam and neutrons from each other, and a target. An ion implantation apparatus has a beam paralleling device that bends an ion beam scanned in an X direction by magnetic field to be parallel and draws a ribbon-shaped ion beam. The beam paralleling device serves also as an ion beam deflector that deflects the ion beam by magnetic field to separates neutrons from the ion beam. In the vicinity of an outlet of the beam paralleling device, there is provided an electric field lens having a plurality of electrodes opposed to each other in a Y direction with a space for passing the ion beam and narrowing the ion beam in the Y direction.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: August 30, 2011
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventor: Takatoshi Yamashita
  • Patent number: 8008631
    Abstract: A method of acquiring an offset deflection amount for a shaped beam, includes forming reference images of first and second figures which can be shaped by first and second aperture plates placed on a lithography apparatus, and a reference image of a mark; forming first and second convolution reference images based on the reference images of the mark and of the first and second figures; scanning over the mark with charged particle beams shaped into the first and second figures to acquire optical images of the first and second figures; forming first and second convolution synthesis images based on the first convolution reference image and respectively the optical images of the first and second figures; and calculating an offset deflection amount for the charged particle beam shaped into the second figure to match reference positions of the first and second figures based on center-of-gravity positions of the first and second convolution synthesis images.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 30, 2011
    Assignee: NuFlare Technology, Inc.
    Inventors: Takahito Nakayama, Kenji Ohtoshi, Osamu Iizuka, Shunji Shinkawa
  • Publication number: 20110204263
    Abstract: Methods for using sub-100V electron beam landing energies for performing circuit edit operations. Circuit edit operations can include imaging for navigation and etching in the presence of a suitable gas. Low landing energies can be obtained by modifying a decelerator system of native FESEM equipment, or by using biasing means near the sample surface for decelerating electrons of the primary beam. At low landing energies near the operating voltage of a semiconductor circuit, voltage contrast effects can be visually seen for enhancing operator navigation. Low landing energies can be used during etching processes for minimizing the interaction volume of the beam and obtaining accurate and localized etching.
    Type: Application
    Filed: November 7, 2006
    Publication date: August 25, 2011
    Applicant: FIBICS INCORPORATED
    Inventors: Michael William Phaneuf, Ken Guillaume Lagarec, Alexander Krechmer
  • Patent number: 8003952
    Abstract: A charged particle beam column package includes an assembly (e.g., comprising a plurality of layers, which can have a component coupled to one of the layers), and at least one deflector between an extractor and aperture of the assembly. Further, at least one of the layers has interconnects thereon.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: August 23, 2011
    Assignee: Agilent Technologies, Inc.
    Inventors: Lawrence P. Muray, James Spallas, Charles Silver
  • Patent number: 8003956
    Abstract: An ion implantation system for neutralizing the space charge effect associated with a high current low energy ion beam. The implantation system includes an ion source configured to receive a dopant gas and generate ions having a particular energy and mass from which ions are extracted through an aperture. A work piece positioned downstream of the ion source for receiving the extracted ions in the form of an ion beam. A bleed gas channel disposed between the ion source and the work piece. The bleed gas channel supplying a gas used to neutralize the space charge effect associated with the ion beam.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: August 23, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: D. Jeffrey Lischer, John (Bon-Woong) Koo, Peter F. Kurunczi, Shardul Patel, Wilhelm P. Platow
  • Patent number: 8003940
    Abstract: A system for controlling a tool-to-tool disparity between a plurality of scanning electron microscopes includes a measuring unit for measuring a tool-to-tool disparity between plural scanning electron microscopes based on information extracted from secondary electron images which are captured by imaging a reference pattern formed on a wafer, a tool state monitoring unit for monitoring tool states of each of the plural scanning electron microscopes, and an output unit for displaying on a screen a relationship between the tool-to-tool disparity between the plural scanning electron microscopes and tool states of each of the plural scanning electron microscopes monitored by the tool state monitoring unit. The tool state monitoring unit monitors the tool states of each of the plural scanning electron microscopes while imaging the reference pattern formed on the wafer by using each of the plural scanning electron microscopes.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: August 23, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Mayuka Oosaki, Chie Shishido, Hiroki Kawada, Tatsuya Maeda
  • Publication number: 20110198509
    Abstract: An apparatus for performing UV light exposure testing of solar panels, also known as PV modules, with superior exposure uniformity, equipment throughput, and floor space requirements, consisting of a chamber including a plurality of UV lamps in a lamp array, at least one target plane, and reflective panels positioned within the chamber to redirect UV light to the target plane(s).
    Type: Application
    Filed: October 16, 2009
    Publication date: August 18, 2011
    Inventors: Michael Gostein, William Stueve
  • Patent number: 7995829
    Abstract: A method for inspecting a component is provided. The method includes generating an image of the component, generating a signal indication mask, and generating a noise mask using a signal within the signal indication mask. The noise mask facilitates reducing a quantity of prospective signals contained in the signal indication mask. The method further includes utilizing the signal indication mask and the generated noise mask to calculate the signal-to-noise ratio of at least one potential flaw indication that may be present in the image.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: August 9, 2011
    Assignee: General Electric Company
    Inventors: Andrew Frank Ferro, Patrick Joseph Howard
  • Patent number: 7994488
    Abstract: An ion implantation system comprising an ion source that generates an ion beam along a beam path, a mass analyzer component downstream of the ion source that performs mass analysis and angle correction on the ion beam, a resolving aperture electrode comprising at least one electrode downstream of the mass analyzer component and along the beam path having a size and shape according to a selected mass resolution and a beam envelope, a deflection element downstream of the resolving aperture electrode that changes the path of the ion beam exiting the deflection element, a deceleration electrode downstream of the deflection element that decelerates the ion beam, a support platform within an end station for retaining and positioning a workpiece which is implanted with charged ions, and wherein the end station is mounted approximately eight degrees counterclockwise so that the deflected ion beam is perpendicular to the workpiece.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: August 9, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Yongzhang Huang
  • Patent number: 7994487
    Abstract: A method for reducing particle contamination during implantation of ions comprises providing an implantation system for implanting ions into a workpiece via an ion beam, wherein one or more components are under selective vacuum and have one or more contaminants in a first state disposed thereon. A gas is introduced to the implantation system, wherein the gas generally reacts with at least a portion of the one or more contaminants, therein transforming the at least a portion of the one or more contaminants into a second state The at least a portion of the one or more contaminants in the second state remain disposed on the one or more components, and wherein the at least a portion of the second state of the one or more contaminants generally does not produce particle contamination on the one or more workpieces.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: August 9, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Andrew M. Ray
  • Publication number: 20110189805
    Abstract: An object of the present invention is to provide a method of producing a silicon wafer and a method of producing an epitaxial wafer, which enable easily forming a gettering site in a relatively short period of time and effectively suppressing occurrence of dislocation induced by internal stresses. Specifically, the present invention provides a method of producing a silicon wafer, comprising: irradiating a first laser beam having a relatively long wavelength and a second laser beam having a relatively short wavelength onto a portion of a silicon wafer located at a predetermined depth measured from a surface of the silicon wafer, wherein the first laser beam is concentrated at a portion located at a predetermined depth of the wafer to form a process-affected layer for gettering heavy metals thereat, the second laser beam is concentrated at a beam-concentration portion in the vicinity of the surface of the wafer to melt the beam-concentration portion, the beam-concentration portion is then recrystallized.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 4, 2011
    Applicant: SUMCO CORPORATION
    Inventor: Kazunari Kurita
  • Patent number: 7989784
    Abstract: A hydrogen ion implanter for the exfoliation of silicon from silicon wafers uses a large scan wheel carrying 50+ wafers around its periphery and rotating about an axis. In one embodiment, the axis of rotation of the wheel is fixed and a ribbon beam of hydrogen ions is directed down on a peripheral edge of the wheel. The ribbon beam extends over the full radial width of wafers on the wheel. The beam is generated by an ion source providing an extracted ribbon beam having at least 100 mm major cross-sectional diameter. The ribbon beam may be passed through a 90° bending magnet which bends the beam in the plane of the ribbon. The magnet provides intensity correction across the ribbon to compensate for the dependency on the radial distance from the wheel axis of the speed at which parts of the wafers pass through the ribbon beam.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 2, 2011
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Hilton Glavish, Geoffrey Ryding, Theodore H. Smick, Kenneth Harry Purser
  • Patent number: 7989783
    Abstract: A nanolithography system comprising a novel optical printing head suitable for high throughput nanolithography. This optical head enables a super-resolution lithographic exposure tool that is otherwise compatible with the optical lithographic process infrastructure. The exposing light is transmitted through specially designed super-resolution apertures, of which the “C-aperture” is one example, that create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the wafer to be exposed. In one embodiment, an illumination source is divided into parallel channels that illuminate each of the apertures. Each of these channels can be individually modulated to provide the appropriate exposure for the particular location on the wafer corresponding to the current position of the aperture. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the individual channels.
    Type: Grant
    Filed: September 5, 2009
    Date of Patent: August 2, 2011
    Inventors: Franklin Mark Schellenberg, Keith Edward Bennett
  • Patent number: 7989782
    Abstract: A specimen fabricating apparatus comprises: a specimen stage, on which a specimen is placed; a charged particle beam optical system to irradiate a charged particle beam on the specimen; an etchant material supplying source to supply an etchant material, which contains fluorine and carbon in molecules thereof, does not contain oxygen in molecules thereof, and is solid or liquid in a standard state; and a vacuum chamber to house therein the specimen stage. A specimen fabricating method comprises the steps of: processing a hole in the vicinity of a requested region of a specimen by means of irradiation of a charged particle beam; exposing the requested region by means of irradiation of the charged particle beam; supplying an etchant material, which contains fluorine and carbon in molecules thereof, does not contain oxygen in molecules thereof, and is solid or liquid in a standard state, to the requested region as exposed; and irradiating the charged particle beam on the requested region as exposed.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: August 2, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Satoshi Tomimatsu, Miyuki Takahashi, Hiroyasu Shichi, Muneyuki Fukuda
  • Patent number: 7985958
    Abstract: According to an aspect of the invention, there is provided an electron beam drawing apparatus comprising at least one stage of a deflection amplifier and a deflection unit, a first storage section which stores shot information at a drawing time, a second storage section which stores a correction table indicating a relation between the shot information and an output voltage of the deflection amplifier, and an adjusting section which adjusts an output of the deflection amplifier based on the correction table stored in the second storage section and the shot information stored in the first storage section.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: July 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuro Nakasugi, Kazuo Tawarayama, Hiroyuki Mizuno, Takumi Ota, Noriaki Sasaki, Tatsuhiko Higashiki, Takeshi Koshiba, Shunko Magoshi
  • Publication number: 20110177674
    Abstract: A method and apparatus for machining, or forming a feature in, a patterned silicon wafer includes removing portions of surface layers on the wafer using a first pulsed laser (4) beam with a pulse width between 1 ps and 1000 ps; and removing portions of bulk silicon (1) underlying the surface layers from the wafer using a second pulsed laser (5) beam with a wavelength between 200 nm and 1100 nm. Re-deposited silicon may be removed from the wafer by etching.
    Type: Application
    Filed: March 16, 2009
    Publication date: July 21, 2011
    Applicant: Electro Scientific Industries, Inc.
    Inventors: Aleksej Rodin, Adrian Boyle, Niall Brennan, Joseph Callaghan
  • Patent number: 7982198
    Abstract: It is an object of the present invention to provide a charged particle beam extraction method and particle beam irradiation system that make it possible to exercise intensity control over an extracted ion beam while a simple device configuration is employed. To accomplish the above object, there is provided a particle beam irradiation system comprising: a synchrotron for accelerating and extracting an charged particle beam; an irradiation apparatus for extracting the charged particle beam that is extracted from the synchrotron; first beam intensity modulation means for controlling the beam intensity of the charged particle beam extracted from the synchrotron during an extraction control period of an operation cycle of the synchrotron; and second beam intensity modulation means for controlling the beam intensity during each of a plurality of irradiation periods contained in the extraction control period of the operation cycle.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: July 19, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Hideaki Nishiuchi, Kazuyoshi Saito
  • Patent number: 7982192
    Abstract: In a beam processing apparatus including a beam scanner having a two electrodes type deflection scanning electrode, the beam scanner further includes shielding suppression electrode assemblies respectively at vicinities of upstream side and downstream side of the two electrodes type deflection scanning electrode and having openings in a rectangular shape for passing a charged particle beam. Each of the shielding suppression electrode assemblies is an assembly electrode comprising one sheet of a suppression electrode and two sheets of shielding ground electrodes interposing the suppression electrode. A total of front side portions and rear side portions of the two electrodes type deflection scanning electrode is shielded by the two sheets of shielding ground electrodes.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: July 19, 2011
    Assignee: SEN Corporation
    Inventors: Mitsukuni Tsukihara, Mitsuaki Kabasawa, Hiroshi Matsushita, Takanori Yagita, Yoshitaka Amano, Yoshito Fujii
  • Patent number: 7982196
    Abstract: A method of modifying a material layer on a substrate is described. The method comprises forming the material layer on the substrate. Thereafter, the method comprises establishing a gas cluster ion beam (GCIB) having an energy per atom ratio ranging from about 0.25 eV per atom to about 100 eV per atom, and modifying the material layer by exposing the material layer to the GCIB.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: July 19, 2011
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Nathan E. Baxter
  • Patent number: 7982197
    Abstract: A hydrogen ion implanter for the exfoliation of silicon from silicon wafers uses a large scan wheel carrying 50+ wafers around its periphery and rotating about an axis. In one embodiment, the axis of rotation of the wheel is fixed and the wheel is formed with tensioned spokes supporting a rim carrying the wafer supports. The spokes may be used for carrying cooling fluid to and from the wafer supports. Detachable connections in the cooling fluid conduits in the vacuum chamber may comprise tandem seals with an intermediate chamber between them which can be vented outside the vacuum chamber, or independently vacuum pumped. In one embodiment, a ribbon beam of hydrogen ions is directed down on a peripheral edge of the wheel. The ribbon beam extends over the full radial width of wafers on the wheel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: July 19, 2011
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Theodore H. Smick, Geoffrey Ryding, Kenneth Harry Purser, Joseph Daniel Gillespie
  • Patent number: 7977655
    Abstract: A method for monitoring overlay of a direct-write system. The method includes providing a substrate having a pattern formed thereon by the direct-write system, generating data associated with the substrate pattern, decomposing the data by applying a transformation matrix, and determining an overlay index based on the decomposed data, the overlay index corresponding to a variation component of the substrate pattern relative to a target pattern.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: July 12, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jo Fei Wang, Ming-Yu Fan, Jong-I Mou
  • Patent number: 7977651
    Abstract: There is provided a projection objective for a projection exposure apparatus that has a primary light source for emitting electromagnetic radiation having a chief ray with a wavelength?193 nm. The projection objective includes an object plane, a first mirror, a second mirror, a third mirror, a fourth mirror; and an image plane. The object plane, the first mirror, the second mirror, the third mirror, the fourth mirror and the image plane are arranged in a centered arrangement around a common optical axis. The first mirror, the second mirror, the third mirror, and the fourth mirror are situated between the object plane and the image plane. The chief ray, when incident on an object situated in the object plane, in a direction from the primary light source, is inclined away from the common optical axis.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: July 12, 2011
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Mann, Wolfgang Singer, Joerg Schultz, Johannes Wangler, Karl-Heinz Schuster, Udo Dinger, Martin Antoni, Wilhelm Ulrich