Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8384049
    Abstract: Embodiments of the present invention provide a multi-leaf collimator with a plurality of leaves and at least one motor for each leaf. The motor for each leaf has a lateral width which is equal to or narrower than the corresponding leaf, and in this way the motors can be arranged within the lateral extent of the leaf. A cut-out section in the leaf allows the motor to lie at least partially within the depth of the leaf, and in this way the drive mechanism and the multi-leaf collimator as a whole are made extremely compact. This in turn allows the leaves to be deeper than would otherwise be the case, increasing their efficacy in blocking radiation.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: February 26, 2013
    Assignee: Elekta AB (publ)
    Inventor: Martin Broad
  • Patent number: 8384051
    Abstract: The drawing apparatus of the present inventions includes a detector having a size for which the detector can simultaneously detect two adjacent charged particle beams among a plurality of charged particle beams, and configured to detect an intensity of a charged particle beam incident thereon. A controller is configured to perform a control of a position of the detector and a control of a blanking deflector array such that one of two adjacent charged particle beams is in a blanking state and the other is in a non-blanking state on the detector that is moved, and each of the plurality of charged particle beams becomes in a blanking state and a non-blanking state sequentially, to cause the detector to perform an output in parallel with the control, and to inspect a defect in each blanking deflector in the blanking deflector array based on the output.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 26, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kimitaka Ozawa
  • Publication number: 20130043412
    Abstract: A system for configuring and utilizing J electromagnetic radiation sources (J?2) to serially irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I?2; J?I) thereon. Pj denotes a normally incident energy flux on each stack from source j. In each of I independent exposure steps, the I stacks are concurrently exposed to radiation from the J sources. Vi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i in exposure step i (i=1, . . . , I). t(i) and Pt(i) are computed such that: Vi is maximal through deployment of source t(i) as compared with deployment of any other source for i=1, . . . , I; and an error E being a function of |V1?S1|, |V2?S2|, . . . , |VI?SI| is about minimized with respect to Pi (i=1, . . . , I).
    Type: Application
    Filed: October 24, 2012
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8378318
    Abstract: A mask or set of masks is disclosed in which outward projections are placed on either side of at least one aperture. An ion beam is then directed through the mask toward a workpiece. An ion collecting device or an optical system is then used to measure the alignment of the mask to the ion beam. These projections serve to increase the sensitivity of the system to misalignment. In another embodiment, a blocker is used to create a region of the workpiece that is not subjected to a blanket implant. This facilitates the use of optical means to insure and determine alignment of the mask to the ion beam.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: February 19, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: George Gammel, Benjamin Riordon
  • Patent number: 8378316
    Abstract: To provide an ion implantation device capable of correcting the temperature of the wafer. The ion implantation device of the present invention has: an irradiation means that radiates ions; a retention means that includes a disk 112 that retains at least one wafer W; a thermopile 122 that detects, in a noncontact manner, temperature information for a wafer W retained on disk 112; a cooling medium supply unit that enables heat exchange for a wafer W retained on disk 112; and a control unit that calculates the surface temperature of a wafer W retained on disk 112 based on the temperature information detected by thermopile 122 and that determines whether the calculated surface temperature for the wafer is within a permissible temperature range.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: February 19, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Kazuhiro Kandatsu
  • Patent number: 8378317
    Abstract: An apparatus and a method of ion implantation using a rotary scan assembly having an axis of rotation and a periphery. A plurality of substrate holders is distributed about the periphery, and the substrate holders are arranged to hold respective planar substrates. Each planar substrate has a respective geometric center on the periphery. A beam line assembly provides a beam of ions for implantation in the planar substrates on the holders. The beam line assembly is arranged to direct said beam along a final beam path.
    Type: Grant
    Filed: September 7, 2011
    Date of Patent: February 19, 2013
    Assignee: GTAT Corporation
    Inventors: Theodore Smick, Geoffrey Ryding, Takao Sakase, William Park, Jr., Joseph Gillespie, Ronald Horner, Paul Eide
  • Patent number: 8373143
    Abstract: This invention relates generally to treatment of solid cancers. More particularly, the invention relates to a computer controlled patient positioning, immobilization, and repositioning method and apparatus used in conjunction with multi-field charged particle cancer therapy coordinated with patient respiration patterns and further in combination with charged particle beam injection, acceleration, extraction, and/or targeting methods and apparatus.
    Type: Grant
    Filed: December 12, 2009
    Date of Patent: February 12, 2013
    Inventor: Vladimir Balakin
  • Patent number: 8372667
    Abstract: Embodiments of the present invention pertain to substrate processing equipment and methods incorporating light sources which provide independent control of light pulse duration, shape and repetition rate. Embodiments further provide rapid increases and decreases in intensity of illumination.
    Type: Grant
    Filed: April 15, 2010
    Date of Patent: February 12, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Stephen Moffatt
  • Patent number: 8368015
    Abstract: The present invention relates to a multi-beamlet multi-column particle-optical system comprising a plurality of columns which are disposed in an array for simultaneously exposing a substrate, each column having an optical axis and comprising: a beamlet generating arrangement comprising at least one multi-aperture plate for generating a pattern of multiple beamlets of charged particles, and an electrostatic lens arrangement comprising at least one electrode element; the at least one electrode element having an aperture defined by an inner peripheral edge facing the optical axis, the aperture having a center and a predetermined shape in a plane orthogonal to the optical axis; wherein in at least one of the plurality of columns, the predetermined shape of the aperture is a non-circular shape with at least one of a protrusion and an indentation from an ideal circle about the center of the aperture.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: February 5, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Elmar Platzgummer, Gerhard Stengl, Helmut Falkner
  • Patent number: 8357897
    Abstract: A charged particle beam device enabling prevention of degradation of reproducibility of measurement caused by an increase of the beam diameter attributed to an image shift and having a function of dealing with device-to-device variation. The charged particle beam device is used for measuring the dimensions of a pattern on a specimen using a line profile obtained by detecting secondary charged particles emitted from the specimen when the specimen is scanned with a primary charged particle beam converged on the specimen. A lookup table in which the position of image shift and the variation of the beam diameter are associated is prepared in advance by actual measurement or calculation and registered. When the dimensions are measured, image processing is carried out so as to correct the line profile for the variation of the beam diameter while the lookup table is referenced, and thereby the situation where the beam diameter is effectively equal is produced irrespective of the position of the image shift.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: January 22, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Chie Shishido, Atsushi Miyamoto, Mayuka Iwasaki, Tomofumi Nishiura, Go Kotaki
  • Patent number: 8354653
    Abstract: Techniques for manufacturing solar cells are disclosed. In one particular exemplary embodiment, the technique may be comprise disposing the solar cell downstream of an ion source; disposing a mask between the ion source and the solar cell, the mask including a front surface, a back surface, and at least one aperture extending in an aperture direction from the front surface to the back surface; and directing ions from the ion source to the solar cell along an ion beam path and through the at least one aperture of the mask, where the ion beam path may be non-parallel relative to the aperture direction.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: January 15, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Steven M. Anella
  • Patent number: 8350235
    Abstract: A system and method are provided for automatic dose-correction recipe generation, the system including a dose-correction recipe generator, a reticle data unit in signal communication with the recipe generator, a slit data unit in signal communication with the recipe generator, a process data unit in signal communication with the recipe generator, a wafer data unit in signal communication with the recipe generator, a control unit in signal communication with the recipe generator, and an output unit or a storage unit in signal communication with the control unit; and the method including receiving a current reticle data set and a previous reticle data set, receiving a current slit data set and a previous slit data set, receiving a process condition, receiving a wafer condition, automatically generating a dose-correction recipe in accordance with the received reticle, slit, process and wafer information, and controlling a dose in accordance with the generated recipe.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: January 8, 2013
    Assignees: Freescale Semiconductor, International Business Machines Corporation, Samsung Electronics Co., Ltd., Globalfoundries Singapore Pte., Ltd.
    Inventors: Hyung-Rae Lee, Dong Hee Yu, Sohan Singh Mehta, Niall Shepherd, Daniel A Corliss
  • Patent number: 8350227
    Abstract: A processing system includes a particle beam column for generating a particle beam directed to a first processing location; a laser system for generating a laser beam directed to a second processing location located at a distance from the first processing location; and a protector including an actuator and a plate connected to the actuator. The actuator is configured to move the plate between a first position in which it protects a component of the particle beam column from particles released from the object by the laser beam and a second position in which the component of the particle beam column is not protected from particles released from the object by the laser beam.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: January 8, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Holger Doemer, Stefan Martens, Walter Mack
  • Patent number: 8351317
    Abstract: Provided are a laser irradiation apparatus, an irradiation method using the same, and a method of crystallizing an amorphous silicon film using the same. Particularly, a laser irradiation apparatus which can reduce a deviation of an intensity of a laser beam, an irradiation method using the same, and a method of crystallizing an amorphous silicon film using the same, which can improve uniformity in crystallization into a polycrystalline silicon thin film, are provided.
    Type: Grant
    Filed: November 15, 2010
    Date of Patent: January 8, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Ji-Hwan Kim
  • Patent number: 8350242
    Abstract: A shield for absorbing radiation emitted during generation of a radioisotope. The shield includes an inner portion fabricated from a first type of shielding material. The shield also includes an outer portion fabricated from a second type of shielding material wherein the outer portion serves as a mold for forming the inner portion. The inner portion may be fabricated from a material which shields against gamma rays such as concrete. The outer portion may be fabricated from a material which moderates neutrons such as high density polyethylene. Additional shielding materials may be embedded into the inner portion as desired.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: January 8, 2013
    Assignee: Siemens Medical Solutions USA, Inc.
    Inventors: Todd L. Graves, Craig M. Stuesse, Michael P. Roy
  • Patent number: 8350226
    Abstract: A radiation system employs magnetic field to move particle beams and radiation sources. The radiation system includes a source operable to produce a particle beam, a scanning magnet operable to scan the particle beam, and a target configured to be impinged by at least a portion of the scanned particle beam to produce radiation.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: January 8, 2013
    Assignee: Varian Medical Systems, Inc.
    Inventors: George Zdasiuk, James Clayton, Josh Star-Lack, David Humber, Gary Virshup, Michael C. Green
  • Publication number: 20130001442
    Abstract: A radiation source includes a fuel supply configured to deliver fuel to a plasma emission location for vaporization by a laser beam to form a plasma, and a collector configured to collect EUV radiation emitted by the plasma and direct the EUV radiation towards an intermediate focus. The collector includes a diffraction grating configured to diffract infrared radiation emitted by the plasma. The radiation source includes a radiation conduit located in between the collector and the intermediate focus. The radiation conduit includes an entrance aperture connected by an inwardly tapering body to an exit aperture. The radiation conduit includes an inner portion and an outer portion, the inner portion being closer to the intermediate focus than the outer portion. The inner portion is configured to reflect incident diffracted infrared radiation towards the outer portion.
    Type: Application
    Filed: June 5, 2012
    Publication date: January 3, 2013
    Applicant: ASML Netherlands B.V.
    Inventors: Maikel Adrianus Cornelis Schepers, Markus Franciscus Antonius Eurlings, Franciscus Johannes Joseph Janssen, Bernard Jacob Andries Stommen, Hrishikesh Patel, Hermanus Johannes Maria Kreuwel, Jacob Cohen, Pepijn Wijnand Jozef Janssen, Maarten Kees Jan Boon
  • Patent number: 8344336
    Abstract: There is proposed an apparatus for doping a material to be doped by generating plasma (ions) and accelerating it by a high voltage to form an ion current is proposed, which is particularly suitable for processing a substrate having a large area. The ion current is formed to have a linear sectional configuration, and doping is performed by moving a material to be doped in a direction substantially perpendicular to the longitudinal direction of a section of the ion current.
    Type: Grant
    Filed: August 12, 2011
    Date of Patent: January 1, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toshiji Hamatani, Koichiro Tanaka
  • Patent number: 8343366
    Abstract: Nanoscale graphene structure fabrication techniques are provided. An oxide nanowire useful as a mask is formed on a graphene layer and then ion beam etching is performed. A nanoscale graphene structure is fabricated by removing a remaining oxide nanowire after the ion beam etching.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: January 1, 2013
    Assignee: SNU R&DB Foundation
    Inventors: Seunghun Hong, Joohyung Lee, Tae Hyun Kim
  • Patent number: 8338804
    Abstract: One of principal objects of the present invention is to provide a sample dimension measuring method for detecting the position of an edge of a two-dimensional pattern constantly with the same accuracy irrespective of the direction of the edge and a sample dimension measuring apparatus. According to this invention, to accomplish the above object, it is proposed to correct the change of a signal waveform of secondary electrons which depends on the direction of scanning of an electron beam relative to the direction of a pattern edge of an inspection objective pattern. It is proposed that when changing the scanning direction of the electron beam in compliance with the direction of a pattern to be measured, errors in the scanning direction and the scanning position are corrected. In this configuration, a sufficient accuracy of edge detection can be obtained irrespective of the scanning direction of the electron beam.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: December 25, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Morokuma, Akiyuki Sugiyama, Ryoichi Matsuoka, Takumichi Sutani, Yasutaka Toyoda
  • Patent number: 8338803
    Abstract: The invention relates to a device for testing an integrated circuit. The device comprises a plate for receiving and subjecting the integrated circuit to a test. The plate comprises a component for powering and operating the integrated circuit and another component for measuring the operation of the integrated circuit during the test. The device also comprises an irradiation device for subjecting the circuit to a proton bombardment and a mask with a variable thickness provided between a bombardment access region on the integrated circuit and an established zone of the integrated circuit.
    Type: Grant
    Filed: December 2, 2009
    Date of Patent: December 25, 2012
    Assignee: European Aeronautic Defence and Space Company Eads France
    Inventors: Florent Miller, Cécile Weulersse, Antonin Bougerol, Thierry Carriere, Patrick Heins, Samuel Hazo
  • Patent number: 8338797
    Abstract: The present invention relates to a debris mitigation device for use with a radiation source (2) generating optical radiation, in particular extreme ultraviolet radiation (EUV) or soft x-rays, and emitting undesired substances and/or particles which can deposit on optical surfaces in a radiation path of said radiation source (2), and to a corresponding drive assembly. The debris mitigation device comprises at least one rotating foil trap (5) and the drive assembly. The drive assembly comprises a driving motor (14) and a driving axis (10), to which the rotating foil trap (5) is fixed. The driving motor (14) and bearings (13) supporting the driving axis (10) are enclosed in a casing (20) having an aperture for the driving axis (10) and at least one outlet opening (21) for a sealing gas. The outlet opening (21) is connectable to a pump for pumping out the sealing gas.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: December 25, 2012
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Guenther Hans Derra, Michael Schaaf
  • Patent number: 8335039
    Abstract: An apparatus for measuring an image of a pattern to be formed on a semiconductor by scanning the pattern using a scanner, the apparatus including an EUV mask including the pattern, a zoneplate lens on a first side of the EUV mask and adapted to focus EUV light on a portion of the EUV mask at a same angle as an angle at which the scanner will be disposed with respect to a normal line of the EUV mask, and a detector arranged on another side of the EUV mask and adapted to sense energy of the EUV light from the EUV mask, wherein NAzoneplate=NAscanner/n and NAdetector=NAscanner/n*?, where NAzoneplate denotes a NA of the zoneplate lens, NAdetector denotes a NA of the detector, and NAscanner denotes a NA of the scanner, ? denotes an off-axis degree of the scanner, and n denotes a reduction magnification of the scanner.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: December 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-gun Lee, Seong-sue Kim
  • Patent number: 8335038
    Abstract: An apparatus for measuring an image of a pattern to be formed on a semiconductor by scanning the pattern using a scanner, the apparatus including an EUV mask including the pattern, a zoneplate lens on a first side of the EUV mask and adapted to focus EUV light on a portion of the EUV mask at a same angle as an angle at which the scanner will be disposed with respect to a normal line of the EUV mask, and a detector arranged on another side of the EUV mask and adapted to sense energy of the EUV light from the EUV mask, wherein NAzoneplate=NAscanner/n and NAdetector=NAscanner/n*?, where NAzoneplate denotes a NA of the zoneplate lens, NAdetector denotes a NA of the detector, and NAscanner denotes a NA of the scanner, ? denotes an off-axis degree of the scanner, and n denotes a reduction magnification of the scanner.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: December 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-gun Lee, Seong-sue Kim
  • Patent number: 8334510
    Abstract: An interface, a scanning electron microscope and a method for observing an object that is positioned in a non-vacuum environment. The method includes: generating an electron beam in the vacuum environment; scanning a region of the object with the electron beam while the object is located below an object holder; wherein the scanning comprises allowing the electron beam to pass through an aperture of an aperture array, pass through an ultra thin membrane that seals the aperture, and pass through the object holder; wherein the ultra thin membrane withstands a pressure difference between the vacuum environment and the non-vacuum environment; and detecting particles generated in response to an interaction between the electron beam and the object.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: December 18, 2012
    Assignee: B-Nano Ltd.
    Inventors: Dov Shachal, Rafi De Picciotto
  • Patent number: 8330128
    Abstract: This apparatus has two mask segments. Each mask segment has apertures that an ion beam may pass through. These mask segments can move between a first and second position using hinges. One or more workpieces are disposed behind the mask segments when these mask segments are in a second position. The two mask segments are configured to cover the one or more workpieces in one instance. Ions are implanted into the one or more workpieces through the apertures in the mask segments.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: December 11, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert B. Vopat, William T. Weaver, Charles T. Carlson
  • Patent number: 8330103
    Abstract: In a multi-charged-particle-beam apparatus, when an electric field and voltage on a surface of a specimen are varied according to characteristics of the specimen, a layout of plural primary beams on the surface of the specimen and a layout of plural secondary beams on each detector vary. Then, calibration is executed to adjust the primary beams on the surface of the specimen to an ideal layout corresponding to the variation of operating conditions including inspecting conditions such as an electric field on the surface and voltage applied to the specimen. The layout of the primary beams on the surface of the specimen is acquired as images displayed on a display of reference marks on the stage. Variance with an ideal state of the reference marks is measured based upon these images and is corrected by the adjustment of a primary electron optics system and others.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: December 11, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Momoyo Enyama, Hiroya Ohta
  • Patent number: 8330126
    Abstract: A system for manufacturing free-standing films from work pieces. The system includes a racetrack structure being configured to transfer at least one work piece and one or more accelerator-based ion implanters coupled to the racetrack structure via an end station. Each of the accelerator-based ion implanters is configured to introduce particles having an energy of greater than 1 MeV to implant into a surface of the work piece loaded in the end station to form a cleave region in the work piece. The system includes one or more cleave modules coupled to the racetrack structure configured to perform a cleave process to release a free-standing film from the work piece along the cleave region. Additionally, the system includes an output port coupled to each cleave module to output the free standing film detached from the work piece and one or more service modules each connected to the racetrack structure.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 11, 2012
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Adam Brailove
  • Patent number: 8324592
    Abstract: Multiple control electrodes are provided asymmetrically within the plasma chamber of an ion source at respective positions along the length of the plasma chamber. Biasing the control electrodes selectively can selectively enhance the ion extraction current at adjacent positions along the length of the extraction slit. A method of generating an ion beam is disclosed in which the strengths of the transverse electric fields at different locations along the length of the plasma chamber are controlled to modify the ion beam linear current density profile along the length of the slit. The method is used for controlling the uniformity of a ribbon beam.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: December 4, 2012
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Geoffrey Ryding, Drew Arnold, William H. Park, Ronald Horner
  • Patent number: 8324598
    Abstract: In one an embodiment, there is provided an assembly comprising at least one detector. Each of the at least one detector includes a substrate having a doped region of a first conduction type, a layer of dopant material of a second conduction type located on the substrate, a diffusion layer formed within the substrate and in contact with the layer of dopant material and the doped region of the substrate, wherein a doping profile, which is representative of a doping material concentration of the diffusion layer, increases from the doped region of the substrate to the layer of dopant material, a first electrode connected to the layer of dopant material, and a second electrode connected to the substrate. The diffusion layer is arranged to form a radiation sensitive surface.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: December 4, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Stoyan Nihtianov, Arie Johan Van Der Sijs, Bearrach Moest, Petrus Wilhelmus Josephus Maria Kemper, Marc Antonius Maria Haast, Gerardus Wilhelmus Petrus Baas, Lis Karen Nanver, Francesco Sarubbi, Antonius Andreas Johannes Schuwer, Gregory Micha Gommeren, Martijn Pot, Thomas Ludovicus Maria Scholtes
  • Patent number: 8324571
    Abstract: An apparatus for measuring a semiconductor device is provided. The apparatus includes a beam emitter configured to irradiate an electron beam onto a sample having the entire region composed of a critical dimension (CD) region, which is formed by etching or development, and a normal region connected to the CD region, and an analyzer electrically connected to the beam emitter, and configured to select and set a wavelength range of a region in which a difference in reflectance between the CD region and the normal region occurs, after obtaining reflectance from the electron beam reflected by a surface of the sample according to the wavelength of the electron beam. A method of measuring a semiconductor device using the measuring apparatus is also provided.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Seok Kim, Jong-Sun Peak, Young-Nam Kim, Hyung-Suk Cho, Sun-Jin Kang, Bu-Dl Yoo
  • Patent number: 8324573
    Abstract: In a conventional micro-channel plate (MCP), a secondary electron (SE) detector or a semi-conductor detector the number of the electrons is amplified through its own structure. For such amplification a small voltage difference is applied externally or generated due to its own structure and material. The electric current of electrons undergoing the above-described procedure is amplified by an external amplification circuit. In the present invention electrons—resulting from the collision of the electron beam generated by a microcolumn—are detected by surrounding conductive wiring. The detected electrons are amplified using an amplification circuit on the outside similar to a conventional detection method.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: December 4, 2012
    Inventor: Ho Seob Kim
  • Publication number: 20120298879
    Abstract: One embodiment disclosed relates to an apparatus forming an electrical conduction path through an insulating layer on a surface of a substrate. A first radiation source is configured to emit radiation to a first region of the insulating layer, and a first electrical contact is configured to apply a first bias voltage to the first region. A second radiation source is configured to emit radiation to a second region of the insulating layer, and a second electrical contact is configured to apply a second bias voltage to the second region. The conductivities of the regions are increased by the radiation such that conductive paths are formed through the insulating layer at those regions. In one implementation, the apparatus may be used in an electron beam instrument. Another embodiment relates to a method of forming an electrical conduction path through an insulating layer. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: May 10, 2012
    Publication date: November 29, 2012
    Inventors: Tomas PLETTNER, Mehran NASSER-GHODSI, Robert HAYNES, Rudy F. GARCIA
  • Publication number: 20120301978
    Abstract: There is provided a method for producing a photoelectric conversion device in which an object to be processed is processed by directing a light beam to a position determined based on information including temperature information and distortion information acquired in advance. There is also provided a light beam irradiation processing apparatus including a control portion capable of controlling a light beam generating portion and a drive portion in such a manner that a light beam can be directed to a position determined based on information including temperature information acquired by a temperature information acquiring portion and distortion information stored therein.
    Type: Application
    Filed: February 2, 2011
    Publication date: November 29, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Shinsuke Tachibana, Koji Shimada, Yoichi Shichijo
  • Patent number: 8319238
    Abstract: A light emitting device having a high degree of light extraction efficiency includes a substrate, and a light emitting structure disposed on one surface of the substrate, the substrate having an internal reformed region where the index of refraction differs from the remainder the substrate. The ratio of the depth of the reformed region (distance between the other surface of the substrate and the reformed region) to the thickness of the substrate is in a range of between 1/8 and 9/11.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: November 27, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Jae Lee, Seong-Deok Hwang, Yu-sik Kim, Sun-Pil Youn
  • Patent number: 8319197
    Abstract: A wafer having a plurality of elements closely arranged thereon is irradiated with an ion beam while being conveyed in one direction by a conveying unit. Each of shutters adjusts an irradiation time during which a target area of the wafer is irradiated with the ion beam. Thus, a frequency in the target area is adjusted. Each of a plurality of mask holes in a pattern mask disposed between the wafer and the shutters corresponds to one area of the wafer. The mask holes are alternately displaced in a wafer conveying direction in which the wafer is conveyed, and are arranged in a plurality of columns perpendicular to the wafer conveying direction. To individually open and close the mask holes, the shutters are arranged to correspond to the respective mask holes. Thus, frequency adjustment, for areas in one column perpendicular to the wafer conveying direction, is performed in multiple steps.
    Type: Grant
    Filed: June 18, 2009
    Date of Patent: November 27, 2012
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Hitoshi Seki
  • Patent number: 8319200
    Abstract: A radiation source is configured to generate extreme ultraviolet radiation. The radiation source includes a laser constructed and arranged to generate a beam of radiation directed to a plasma generation site where a plasma is generated when the beam of radiation interacts with a fuel, an optical component having a surface that is arranged and positioned to be hit by a droplet of fuel, and a temperature conditioner constructed and arranged to elevate the temperature of the surface.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: November 27, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Hendrikus Gijsbertus Schimmel, Vadim Yevgenyevich Banine, Erik Roelof Loopstra, Karel Joop Bosschaart
  • Patent number: 8319196
    Abstract: A technique for low-temperature ion implantation is disclosed. In one particular exemplary embodiment, the technique may be realized as an apparatus for low-temperature ion implantation. The apparatus may comprise a pre-chill station located in proximity to an end station in an ion implanter; a cooling mechanism within the pre-chill station configured to cool a wafer from ambient temperature to a predetermined range less than ambient temperature; a loading assembly coupled to the pre-chill station and the end station; and a controller in communication with the loading assembly and the cooling mechanism to coordinate loading a wafer into the pre-chill station, cooling the wafer down to the predetermined temperature range before any ion implantation into the wafer, and loading the cooled wafer into the end station where the cooled wafer undergoes an ion implantation process.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: November 27, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jonathan G. England, Steven R. Walther, Richard S. Muka, Julian G. Blake, Paul J. Murphy, Reuel B. Liebert
  • Patent number: 8314409
    Abstract: An improved method of directing a charged particle beam that compensates for the time required for the charged particles to traverse the system by altering one or more of the deflector signals. According to one embodiment of the invention, a digital filter is applied to the scan pattern prior to digital-to-analog (D/A) conversion in order to reduce or eliminate over-shoot effects that can result from TOF errors. In other embodiments, analog filters or the use of signal amplifiers with a lower bandwidth can also be used to compensate for TOF errors. By altering the scan pattern, over-shoot effects can be significantly reduced or eliminated.
    Type: Grant
    Filed: August 28, 2010
    Date of Patent: November 20, 2012
    Assignee: FEI Company
    Inventors: Tom Miller, Gene Mirro, Cornelis Sander Kooijman, Hendrik Jan de Vos
  • Patent number: 8314410
    Abstract: A combined laser and charged particle beam system. A pulsed laser enables milling of a sample at material removal rates several orders of magnitude larger than possible for a focused ion beam. In some embodiments, a scanning electron microscope enables high resolution imaging of the sample during laser processing. In some embodiments, a focused ion beam enables more precise milling of the sample. A method and structure for deactivating the imaging detectors during laser milling enables the removal of imaging artifacts arising from saturation of the detector due to a plasma plume generated by the laser beam. In some embodiments, two types of detectors are employed: type-1 detectors provide high gain imaging during scanning of the sample with an electron or ion beam, while type-2 detectors enable lower gain imaging and endpoint detection during laser milling.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: November 20, 2012
    Assignee: FEI Company
    Inventors: Marcus Straw, Mark W. Utlaut, N. William Parker
  • Patent number: 8314398
    Abstract: A method is disclosed for in-situ monitoring of an EUV mirror to determine a degree of optical degradation. The method may comprise the steps/acts of irradiating at least a portion of the mirror with light having a wavelength outside the EUV spectrum, measuring at least a portion of the light after the light has reflected from the mirror, and using the measurement and a pre-determined relationship between mirror degradation and light reflectivity to estimate a degree of multi-layer mirror degradation. Also disclosed is a method for preparing a near-normal incidence, EUV mirror which may comprise the steps/acts of providing a metallic substrate, diamond turning a surface of the substrate, depositing at least one intermediate material overlying the surface using a physical vapor deposition technique, and depositing a multi-layer mirror coating overlying the intermediate material.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: November 20, 2012
    Assignee: Cymer, Inc.
    Inventors: Norbert R. Bowering, Igor V. Fomenkov, Oleh V. Khodykin, Alexander N. Bykanov
  • Patent number: 8314369
    Abstract: A method and apparatus are provided for treating a substrate. The substrate is positioned on a support in a thermal treatment chamber. Electromagnetic radiation is directed toward the substrate to anneal a portion of the substrate. Other electromagnetic radiation is directed toward the substrate to preheat a portion of the substrate. The preheating reduces thermal stresses at the boundary between the preheat region and the anneal region. Any number of anneal and preheat regions are contemplated, with varying shapes and temperature profiles, as needed for specific embodiments. Any convenient source of electromagnetic radiation may be used, such as lasers, heat lamps, white light lamps, or flash lamps.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Moffatt, Abhilash J. Mayur, Sundar Ramamurthy, Joseph Ranish, Aaron Hunter
  • Patent number: 8309884
    Abstract: The present invention is to provide a laser irradiation apparatus for forming a laser beam which has a shape required for the annealing and which has homogeneous energy distribution, by providing a slit at an image-formation position of a diffractive optical element, wherein the slit has a slit opening whose length is changeable. The laser irradiation apparatus comprises a laser oscillator, a diffractive optical element, and a slit, wherein the slit has a slit opening whose length in a major-axis direction thereof is changeable, wherein a laser beam is delivered obliquely to a substrate, and wherein the laser beam is a continuous wave solid-state, gas, or metal laser, or a pulsed laser with a repetition frequency of 10 MHz or more.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8309919
    Abstract: An object of the present invention is to provide a suitable method of observing a wafer edge by using an electron microscope. The electron microscope includes a column which can take an image in being tilted, and thus allows a wafer edge to be observed from an oblique direction.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: November 13, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Obara, Takehiro Hirai
  • Patent number: 8309935
    Abstract: An ion implantation system includes an electrostatic lens. The electrostatic lens includes a terminal electrode, a ground electrode and a suppression electrode disposed therebetween. An ion beam enters the electrostatic lens through the terminal electrode and exits through the ground electrode. The electrodes have associated electrostatic equipotentials. An end plate is disposed between a top and bottom portion of the suppression electrode and/or the top and bottom portion of the ground electrode. The respective end plate has a shape which corresponds to the electrostatic equipotential associated with the particular electrode in order to maintain uniformity of the beam as it passes through the electrostatic lens.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: November 13, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Frank Sinclair, Svetlana B. Radovanov, Kenneth H. Purser
  • Patent number: 8309444
    Abstract: A system and method for controlling a dosage profile is disclosed. An embodiment comprises separating a wafer into components of a grid array and assigning each of the grid components a desired dosage profile based upon a test to compensate for topology differences between different regions of the wafer. The desired dosages are decomposed into directional dosage components and the directional dosage components are translated into scanning velocities of the ion beam for an ion implanter. The velocities may be fed into an ion implanter to control the wafer-to-beam velocities and, thereby, control the implantation.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: November 13, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keung Hui, Chun-Lin Chang, Jong-I Mou
  • Patent number: 8309421
    Abstract: The present invention generally relates to methods of controlling UV lamp output to increase irradiance uniformity. The methods generally include determining a baseline irradiance within a chamber, determining the relative irradiance on a substrate corresponding to a first lamp and a second lamp, and determining correction or compensation factors based on the relative irradiances and the baseline irradiance. The lamps are then adjusted via closed loop control using the correction or compensation factors to individually adjust the lamps to the desired output. The lamps may optionally be adjusted to equal irradiances prior to adjusting the lamps to the desired output. The closed loop control ensures process uniformity from substrate to substrate. The irradiance measurement and the correction or compensation factors allow for adjustment of lamp set points due to chamber component degradation, chamber component replacement, or chamber cleaning.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 13, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Yao-Hung Yang, Abhijit Kangude, Sanjeev Baluja, Michael Martinelli, Liliya Krivulina, Thomas Nowak, Juan Carlos Rocha-Alvarez, Scott Hendrickson
  • Patent number: 8304749
    Abstract: In a particle-beam projection processing apparatus for irradiating a target by a beam of energetic electrically charged particles, including an illumination system, a pattern definition system for positioning an aperture arrangement composed of apertures transparent to the energetic particles in the path of the illuminating beam, and a projection system to project the beam onto a target, there is provided at least one plate electrode device, which has openings corresponding to the apertures of the pattern definition system and including a composite electrode composed of a number of partial electrodes being arranged non-overlapping and adjoining to each other, the total lateral dimensions of the composite electrode covering the aperture arrangement of the pattern definition system. The partial electrodes can be applied different electrostatic potentials.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: November 6, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Stefan Cernusca
  • Patent number: 8304752
    Abstract: A method for producing extreme ultraviolet light includes producing a target material at a target location; supplying pump energy to a gain medium of at least one optical amplifier that has an amplification band to produce an amplified light beam; propagating the amplified light beam through the gain medium using one or more optical components of a set of optical components; delivering the amplified light beam to the target location using one or more optical components of the optical component set; producing with a guide laser a guide laser beam that has a wavelength outside of the amplification band of the gain medium and inside the wavelength range of the optical components; and directing the guide laser beam through the optical component set to thereby align one or more optical components of the optical component set.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 6, 2012
    Assignee: Cymer, Inc.
    Inventors: Igor V. Fomenkov, Alexander I. Ershov, William N. Partlo, Jason Paxton, Nam-Hyong Kim, Jerzy R. Hoffman
  • Patent number: 8305557
    Abstract: Disclosed is a system for calculating transmission utility factor value of photo energy for exposure and a method for calculating transmission utility factor value of photo energy utilizing the calculation system in which the photo energy generated from an excimer laser generator passes various optical systems (which include a lens and a reflection mirror and so on), the photo energy used for exposing a wafer is divided by the photo energy generated from the excimer laser generator and the percentage of the result is finally calculated at real time. According to the present invention, an increase of the exposing time due to the lowering of the photo transmission utility factor value and a lowering of productivity in semiconductor are prevented. According to the present invention, the badness or contamination of the optical systems between the excimer laser generator and the exposure device can be also predicted at real time.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: November 6, 2012
    Assignee: Cymer Korea Inc.
    Inventor: Woon-Sig Hong