Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8153338
    Abstract: An apparatus for repairing a photo mask, including a repairing atomic force microscope configured to repair a defective portion of the photo mask in a photo mask repair process, an electron microscope configured to navigate the repairing atomic electron microscope to the defective portion of the photo mask and to observe the photo mask repair process, and an imaging atomic microscope configured to image in-situ a shape of a repaired photo mask.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: April 10, 2012
    Assignees: Nanofocus Inc., Korea Research Institute of Standards and Science
    Inventors: Byong Chon Park, Sang Jung Ahn, Jin Ho Choi, Joon Lyou, Jae Wan Hong, Won Young Song, Ki Young Jung
  • Patent number: 8148702
    Abstract: The invention is directed to an arrangement for the illumination of a substrate with a plurality of individually shaped, controllable particle beams, particularly for electron beam lithography in the semiconductor industry. It is the object of the invention to find a novel possibility for illuminating a substrate with a plurality of individually shaped, controllable particle beamlets which permits a high-resolution structuring of substrates with a high substrate throughput without limiting the flexibility of the applicable structure patterns or limiting the high substrate throughput due to a required flexibility.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: April 3, 2012
    Assignee: Vistec Electron Beam GmbH
    Inventors: Hans-Joachim Doering, Thomas Elster, Joachim Heinitz, Matthias Slodowski
  • Patent number: 8143588
    Abstract: A deflector array includes a plurality of deflectors, which deflect charged particle beams, arrayed on a substrate. Each of the plurality of deflectors includes a single opening formed in the substrate, and each of the plurality of deflectors includes a pair of electrodes that oppose each other through the opening and are configured to deflect a single charged particle beam. The plurality of deflectors are arrayed such that a length of the pair of electrodes in a longitudinal direction thereof is not less than a distance between centers of two of the plurality of deflectors that are located nearest to each other. The plurality of deflectors is arrayed to form a checkerboard lattice, and two openings of the two of the plurality of deflectors overlap in the longitudinal direction.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: March 27, 2012
    Assignees: Canon Kabushiki Kaisha, Hitachi High-Technologies Corporation
    Inventors: Kenichi Nagae, Masatoshi Kanamaru
  • Patent number: 8143604
    Abstract: An ion implantation system includes an ion source configured to provide an ion beam, a terminal structure defining a cavity, the ion source at least partially disposed within the cavity, and an insulator system. The insulator system is configured to electrically insulate the terminal structure and is configured to provide an effective dielectric strength greater than about 72 kilovolts (kV)/inch in a region proximate at least one exterior surface of the terminal structure. A gas box insulator system to electrically insulate a gas box of the ion implantation system is also provided.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: March 27, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Russell Low, Piortr R. Lubicki, D. Jeffrey Lischer, Steve Krause, Eric Hermanson, Joseph C. Olson
  • Patent number: 8143602
    Abstract: The present disclosure provides a maskless lithography apparatus. The apparatus includes a plurality of writing chambers, each including: a wafer stage operable to secure a wafer to be written and a multi-beam module operable to provide multiple radiation beams for writing the wafer; an interface operable to transfer wafers between each of the writing chambers and a track unit for processing an imaging layer to the wafers; and a data path operable to provide a set of circuit pattern data to each of the multiple radiation beams in each of the writing chambers.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: March 27, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jeng-Horng Chen, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 8143603
    Abstract: An electrostatic latent image measuring device includes a charged particle optical system which irradiates an electron beam and charges a photoconductor sample, an exposure optical system which forms an electrostatic latent image on a surface of the photoconductor sample, and a scanning unit which scans the surface of the photoconductor sample by the electron beam, a distribution of the electrostatic latent image on the surface of the sample being measured by a signal detected by the scanning.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: March 27, 2012
    Assignee: Ricoh Company, Ltd.
    Inventors: Hiroyuki Suhara, Nobuaki Kubo
  • Patent number: 8138485
    Abstract: A radiation detector, a method of manufacturing a radiation detector, and a lithographic apparatus comprising a radiation detector. The radiation detector has a radiation sensitive surface. The radiation sensitive surface is sensitive to radiation wavelengths between 10-200 nm and charged particles. The radiation detector has a silicon substrate, a dopant layer, a first electrode, and a second electrode. The silicon substrate is provided in a surface area at a first surface side with doping profile of a certain conduction type. The dopant layer is provided on the first surface side of the silicon substrate. The dopant layer has a first layer of dopant material and a second layer. The second layer is a diffusion layer in contact with the surface area at the first surface side of the silicon substrate. The first electrode is connected to dopant layer. The second electrode is connected to the silicon substrate.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: March 20, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Stoyan Nihtianov, Arie Johan Van Der Sijs, Bearrach Moest, Petrus Wilhelmus Joseph Maria Kemper, Marc Antonius Maria Haast, Gerardus Wilhelmus Petrus Baas, Lis Karen Nanver, Francesco Sarubbi, Antonius Andreas Johannes Schuwer, Gregory Micha Gommeren, Martijn Pot, Thomas Ludovicus Maria Scholtes
  • Patent number: 8138486
    Abstract: In an immersion lithographic apparatus, bubble formation in immersion liquid is reduced or prevented by reducing a gap size or area on a substrate table and/or covering the gap.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: March 20, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Hans Jansen, Sebastiaan Maria Johannes Cornelissen, Sjoerd Nicolaas Lambertus Donders, Roelof Frederik De Graaf, Christiaan Alexander Hoogendam, Hernes Jacobs, Martinus Hendrikus Antonius Leenders, Jeroen Johannes Sophia Maria Mertens, Jan-Gerard Cornelis Van Der Toorn, Peter Smits, Franciscus Johannes Joseph Janssen, Michel Riepen, Bob Streefkerk
  • Patent number: 8138066
    Abstract: A method for generating patterned strained regions in a semiconductor device is provided. The method includes directing a light-emitting beam locally onto a surface portion of a semiconductor body; and manipulating a plurality of dislocations located proximate to the surface portion of the semiconductor body utilizing the light-emitting beam, the light-emitting beam being characterized as having a scan speed, so as to produce the patterned strained regions.
    Type: Grant
    Filed: October 1, 2008
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chung Woh Lai, Xiao Hu Liu, Anita Madan, Klaus W. Schwarz, J. Campbell Scott
  • Patent number: 8134134
    Abstract: In a particle attachment preventing method in a substrate processing apparatus, an electron density control power supplied from the second power supply is adjusted such that an electron density above the substrate gets lower than during a plasma processing, for a preset short period of time after the plasma processing is ended, and a bias power supplied from the first power is maintained for the preset short period of time. The second power supply is a high frequency power supply for supplying a high frequency power having a frequency that is higher than that of the bias power, and in said adjusting of the electron density control power, the high frequency power supplied from the second power supply is lowered as compared with that during the plasma processing.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takahiro Murakami
  • Patent number: 8134135
    Abstract: The invention relates to a multiple beam charged particle optical system, comprising an electrostatic lens structure with at least one electrode, provided with apertures, wherein the effective size of a lens field effected by said electrode at a said aperture is made ultimately small. The system may comprise a diverging charged particle beam part, in which the lens structure is included. The physical dimension of the lens is made ultimately small, in particular smaller than one mm, more in particular less than a few tens of microns. In further elaboration, a lens is combined with a current limiting aperture, aligned such relative to a lens of said structure, that a virtual aperture effected by said current limiting aperture in said lens is situated in an optimum position with respect to minimizing aberrations total.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: March 13, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Yanxia Zhang, Martijn J. Van Bruggen, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8129698
    Abstract: A pattern density distribution and a dose distribution calculated using the pattern density distribution are multiplied by each other to calculate an exposure distribution. A fogging electron amount distribution is calculated using the exposure distribution and a function descriptive of a fogging spread distribution. Charge amount distributions in irradiation and non-irradiation regions are calculated using the exposure distribution and the fogging electron amount distribution. A position displacement amount distribution is calculated using the charge amount distributions and a response function for converting a charge amount to a position displacement error.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: March 6, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Seiji Wake
  • Patent number: 8130626
    Abstract: Blanking by a blanking control unit is eliminated by making the tangential direction movement velocity of the substrate and the deflection velocity of the beam faster in the segment, in which the recording pattern is sparse, and making and slower in segments, in which it is dense. In this case, in segment in which it is desired to form a recording pattern thicker in the radial direction, a thick recording can be realized by setting the recording velocity by the recording velocity setting means to be slower, and in the case where is substantially constant, setting to be relatively slower, than in the segments.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: March 6, 2012
    Assignee: Pioneer Corporation
    Inventor: Osamu Kasono
  • Patent number: 8124947
    Abstract: A system and method are provided for implanting ions into a workpiece in a plurality of operating ranges. A desired dosage of ions is provided, and a spot ion beam is formed from an ion source and mass analyzed by a mass analyzer. Ions are implanted into the workpiece in one of a first mode and a second mode based on the desired dosage of ions, where in the first mode, the ion beam is scanned by a beam scanning system positioned downstream of the mass analyzer and parallelized by a parallelizer positioned downstream of the beam scanning system. In the first mode, the workpiece is scanned through the scanned ion beam in at least one dimension by a workpiece scanning system. In the second mode, the ion beam is passed through the beam scanning system and parallelizer un-scanned, and the workpiece is two-dimensionally scanned through the spot ion beam.
    Type: Grant
    Filed: September 4, 2009
    Date of Patent: February 28, 2012
    Assignee: Axcelis Technologies Inc.
    Inventors: Manny Sieradzki, Patrick Splinter, Bo H Vanderberg
  • Patent number: 8124946
    Abstract: A system and method for magnetically filtering an ion beam during an ion implantation into a workpiece is provided, wherein ions are emitted from an ion source and accelerated the ions away from the ion source to form an ion beam. The ion beam is mass analyzed by a mass analyzer, wherein ions are selected. The ion beam is then decelerated via a decelerator once the ion beam is mass-analyzed, and the ion beam is further magnetically filtered the ion beam downstream of the deceleration. The magnetic filtering is provided by a quadrapole magnetic energy filter, wherein a magnetic field is formed for intercepting the ions in the ion beam exiting the decelerator to selectively filter undesirable ions and fast neutrals.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Axcelis Technologies Inc.
    Inventors: Geoffrey Ryding, Theodore Smick, Marvin Farley, Takao Sakase, Bo Vanderberg
  • Patent number: 8124942
    Abstract: A focused ion beam (FIB) system is disclosed, comprising an inductively coupled plasma ion source, an insulating plasma chamber containing the plasma, a conducting source biasing electrode in contact with the plasma and biased to a high voltage to control the ion beam energy at a sample, and a plurality of apertures. The plasma within the plasma chamber serves as a virtual source for an ion column comprising one or more lenses which form a focused ion beam on the surface of a sample to be imaged and/or FIB-processed. The plasma is initiated by a plasma igniter mounted near or at the column which induces a high voltage oscillatory pulse on the source biasing electrode. By mounting the plasma igniter near the column, capacitive effects of the cable connecting the source biasing electrode to the biasing power supply are minimized. Ion beam sputtering of the apertures is minimized by proper aperture materials selection.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: February 28, 2012
    Assignee: FEI Company
    Inventors: Anthony Graupera, Sean Kellogg, Tom Miller, Dustin Laur, Shouyin Zhang, Antonius Bastianus Wilhelmus Dirriwachter
  • Patent number: 8115180
    Abstract: A processing system includes a particle beam column for generating a particle beam directed to a first processing location; a laser system for generating a laser beam directed to a second processing location located at a distance from the first processing location; and a protector including an actuator and a plate connected to the actuator. The actuator is configured to move the plate between a first position in which it protects a component of the particle beam column from particles released from the object by the laser beam and a second position in which the component of the particle beam column is not protected from particles released from the object by the laser beam.
    Type: Grant
    Filed: August 18, 2009
    Date of Patent: February 14, 2012
    Assignee: Carl Zeiss NTS GmbH
    Inventors: Holger Doemer, Stefan Martens, Walter Mack
  • Patent number: 8115183
    Abstract: For maskless irradiating a target with a beam of energetic electrically charged particles using a pattern definition means with a plurality of apertures and imaging the apertures in the pattern definition means onto a target which moves (v) relative to the pattern definition means laterally to the axis, the location of the image is moved along with the target, for a pixel exposure period within which a distance of relative movement of the target is covered which is at least a multiple of the width (w) of the aperture images as measured on the target, and after said pixel exposure period the location of the beam image is changed, which change of location generally compensates the overall movement of the location of the beam image.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: February 14, 2012
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Patent number: 8110794
    Abstract: Methods and systems are provided for the soft desorption of analyte from a sample, in which an optical beam absorbed within an irradiate zone of the sample causes vibrational excitations of a component within the sample. The optical beam, providing sufficient energy to superheat the component, is provided for a time interval that is less than the time duration required for the loss of energy out of the irradiated zone due to thermal diffusion and acoustic expansion. The superheated component thus drives ablation within the irradiated zone, resulting in the soft desorption of analyte without ionization and fragmentation. The ejected ablation plume may be directed towards the inlet of a mass analysis device for detection of the desorbed analyte, which is preferably ionized by a linear resonant photo-ionization step.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: February 7, 2012
    Inventor: R. J. Dwayne Miller
  • Patent number: 8110813
    Abstract: A charged particle optical system comprising a beamlet generator for generating a plurality of beamlets of charged particles and an electrostatic deflector for deflecting the beamlets. The electrostatic deflector comprises first and second electrodes adapted for connection to a voltage for generating an electric field between the electrodes for deflection of the beamlets, the electrodes being at least partially freestanding in an active area of the electrostatic deflector. The electrodes define at least one passing window for passage of at least a portion of the beamlets between the electrodes, the passing window having a length in a first direction and a width in a transverse direction. The system is adapted to arrange the beamlets in at least one row and to direct a single row of the beamlets through the passing window of the electrostatic deflector, the beamlets of the row extending in the first direction.
    Type: Grant
    Filed: April 29, 2010
    Date of Patent: February 7, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Norman Hendrikus Rudolf Baars, Gerardus Fernandus Ten Berge, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8110814
    Abstract: Ion sources, systems and methods are disclosed.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: February 7, 2012
    Assignee: ALIS Corporation
    Inventors: Billy W. Ward, John A. Notte, IV, Louis S. Farkas, III, Randall G. Percival, Raymond Hill, Klaus Edinger, Lars Markwort, Dirk Aderhold, Ulrich Mantz
  • Patent number: 8101928
    Abstract: Charged particles that are in transit through a deflection system when the beam is repositioned do not received the correct deflection force and are misdirected. By independently applying signals to the multiple stages of a deflection system, the number of misdirected particles during a pixel change is reduced.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: January 24, 2012
    Assignee: FEI Company
    Inventors: Raymond Hill, Steve Ake Rosenberg, Daniel B. Downer
  • Patent number: 8101925
    Abstract: Nanofabrication installation comprising: a specimen holder, for holding a specimen; a mask, having a through-opening between the upper and lower faces of the mask, for letting charged particles through onto the specimen holder; a near-field detection device for detecting a relative position between the mask (8) and the specimen holder (3); and a displacement device for generating a relative movement between the mask (8) and the specimen holder (3) independently of the relative position between the source (1) and the mask (8), the mask including at least a first electrode in the through-opening (10).
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: January 24, 2012
    Assignee: Centre National de la Recherche Scientifique—CNRS
    Inventor: Jacques Gierak
  • Patent number: 8101927
    Abstract: A masking apparatus includes a mask positioned upstream of a target positioned for treatment with ions. The mask is sized relative to the target to cause a first half of the target to be treated with a selective treatment of ions through the mask and a second half of the target to be treated with a blanket treatment of ions unimpeded by the mask during a first time interval. The masking apparatus also includes a positioning mechanism to change a relative position of the mask and the target so that the second half of the target is treated with the selective treatment of ions and the first half of the target is treated with the blanket implant during a second time interval. An ion implanter having the masking apparatus is also provided.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: January 24, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Charles T. Carlson, William T. Weaver
  • Patent number: 8097846
    Abstract: A method for measuring three-dimensional devices in a wafer comprises the step of obtaining a plurality of cross-sectional images of a corresponding plurality of three-dimensional devices in the wafer. The plurality of three-dimensional devices have essentially identical geometries. Each cross-sectional image is obtained from a plane in the corresponding three-dimensional device at a predetermined distance from a fiducial mark thereof. The predetermined distance is different for each of the plurality of cross-sectional images. The method further comprises the step of determining the geometries of the plurality of three-dimensional devices based on the cross-sectional images thereof.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: January 17, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventors: Alexandre Anguelouch, Lei Wang, Chester Xiaowen Chien
  • Patent number: 8097866
    Abstract: An apparatus and a method for detecting particle beam characteristics are disclosed. In one embodiment, the apparatus may have a body including a first end and second end and at least one detector between the first and second ends. The apparatus may have a transparent state where a portion of the particles entering the apparatus may pass through the apparatus. The apparatus may also have a minimum transparency state where substantially all of the particles entering the apparatus may be prevented from passing through the apparatus and detected. Different transparency state may be achieved by rotating the apparatus or the detector contained therein. With the apparatus, it is possible to detect the beam properties such as the beam intensity, angle, parallelism, and a distribution of the particles in a particle beam.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: January 17, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Joseph C. Olson, Atul Gupta
  • Patent number: 8097860
    Abstract: A gas cluster ion beam (GCIB) processing system using multiple nozzles for forming and emitting at least one GCIB and methods of operating thereof are described. The GCIB processing system may be configured to treat a substrate, including, but not limited to, doping, growing, depositing, etching, smoothing, amorphizing, or modifying a layer thereupon. Furthermore, the GCIB processing system may be operated to produce a first GCIB and a second GCIB, and to irradiate a substrate simultaneously and/or sequentially with the first GCIB and second GCIB.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: January 17, 2012
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Matthew C. Gwinn, Robert K. Becker, Avrum Freytsis, Michael Graf
  • Patent number: 8097092
    Abstract: The present invention relates to a method of cleaning and after treatment of optical surfaces in an irradiation unit, said irradiation unit comprising a radiation source (1, 31) emitting EUV-radiation and/or soft X-rays, a first volume (40) following said radiation source (1, 31) and containing first optical components (3, 33) with said optical surfaces, and a second volume (41) following said first volume (40) and containing second optical components (38). The method comprises at least one cleaning step in which a first gas or gas mixture is brought into contact with said optical surfaces, thereby forming volatile compounds with contaminations deposited on said optical surfaces, wherein said compounds are pumped out of the first volume (40) together with the first gas or gas mixture.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: January 17, 2012
    Assignee: Kninklijke Philips Electronics N.V.
    Inventors: Guenther Hans Derra, Thomas Kruecken, Christof Metzmacher, Achim Weber, Peter Zink
  • Patent number: 8094298
    Abstract: A method and equipment which includes an illustrated-spot illumination-distribution data table for storing an illumination distribution within an illustrated spot and which calculates a coordinate position for a particle or a defect and the diameter of the particle on the basis of detection light intensity data about the particle or defect and the illustrated-spot illumination-distribution data table. Thus, even when the illumination distribution within the illustrated spot based on an actual illumination optical system is not a Gaussian distribution, the calculation of the particle diameter of the detected particle or defect and the calculation of a coordinate position on the surface of an object to be inspected can be attained with an increased accuracy.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahiro Togashi, Shigeru Matsui
  • Patent number: 8092641
    Abstract: A system and method for removing an organic residue from a charged particle beam system includes a conduit that is coupled to the column and is for adding oxygen to the column. A heater is coupled to the column and is for increasing the temperature in the column. A pump is coupled to the column and is for removing a gas from the chamber, wherein the gas is a byproduct of a chemical reaction of the organic residue and the oxygen.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: January 10, 2012
    Assignee: Hermes-Microvision, Inc.
    Inventor: Hong Xiao
  • Patent number: 8094288
    Abstract: A lithographic apparatus includes an illumination system configured to transmit a beam of radiation, the beam of radiation comprising desired radiation having a predetermined wavelength or a predetermined wavelength range, and undesired radiation having another wavelength or another wavelength range; a support structure configured to support a patterning structure, the patterning structure being configured to impart the beam of radiation with a pattern in its cross-section; a substrate table configured to hold a substrate; and a projection system configured to project the patterned beam of radiation onto a target portion of the substrate; wherein at least part of the lithographic apparatus, in use, includes a gas substantially transmissive for at least part of the desired radiation and substantially less transmissive for at least part of the undesired radiation.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: January 10, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Johannes Hubertus Josephina Moors
  • Patent number: 8089051
    Abstract: One embodiment relates to a method of controllably reflecting electrons from an array of electron reflectors. An incident electron beam is formed from an electron source, and the incident beam is directed to the array of electron reflectors. A first plurality of the reflectors is configured to reflect electrons in a first reflective mode such that the reflected electrons exiting the reflector form a focused beam. A second plurality of the reflectors is configured to reflect electrons in a second reflective mode such that the reflected electrons exiting the reflector are defocused. Another embodiment relates to an apparatus of a dynamic pattern generator for reflection electron beam lithography. Other embodiments, aspects and features are also disclosed.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: January 3, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Luca Grella, Regina Freed, Mark A. McCord
  • Patent number: 8089056
    Abstract: A charged particle multi-beamlet system for exposing a target using a plurality of beamlets. The system comprises a first plate having a plurality of holes formed in it, with a plurality of electrostatic projection lens systems formed at the location of each hole so that each electron beamlet passes through a corresponding projection lens system. The holes have sufficiently uniform placement and dimensions to enable focusing of the beamlets onto the surface of the target using a common control voltage. Preferably the electrostatic projection lens systems are controlled by a common electrical signal to focus the electron beamlets on the surface without correction of the focus or path of individual electron beamlets.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: January 3, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan Jaco Wieland, Bert Jan Kampherbeek, Alexander Hendrik Van Veen, Pieter Kruit, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8089055
    Abstract: An ion-cut machine and method for slicing silicon ingots into thin wafers for solar cell manufacturing is set forth, amongst other embodiments and applications. One embodiment comprises two carousels: first carousel (100) adapted for circulating workpieces (55) under ion beam (10) inside target vacuum chamber (30) while second carousel (80) is adapted for carrying implanted workpieces through a sequence of process stations that may include annealing (60), cleaving (70), slice output (42), ingot replacement (52), handle bonding, cleaning, etching and others. Workpieces are essentially swapped between carousels. In one embodiment, the swapping system comprises a high throughput load lock (200) disposed in the wall of the vacuum chamber (30), a vacuum swapper (110) swapping workpieces between first carousel (100) and load lock (200), and an atmospheric swapper (90) swapping workpieces between load lock (200) and second carousel (80).
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: January 3, 2012
    Inventor: Adam Alexander Brailove
  • Patent number: 8080809
    Abstract: A charged particle beam writing apparatus includes a unit emitting a charged particle beam, a stage on which a target workpiece to be written is placed, a unit correcting a reference position of a small region in a writing region, based on a pattern distortion obtained from positions of figures spread over substantially all writing region of a dummy target workpiece and written without correcting, a first deflector deflecting the beam, based on a corrected reference position obtained by correcting the reference position, a correction unit correcting a relative distance from the corrected reference position to an arbitrary position in the small region, based on a pattern distortion of the dummy, by using the reference position and a coefficient of a correction equation for correcting the reference position, and a second deflector further deflecting the beam from a position deflected by the first deflector, based on the relative distance corrected.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: December 20, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 8080814
    Abstract: A method and apparatus is provided for improving implant uniformity of an ion beam experiencing pressure increase along the beam line. The method comprises generating a main scan waveform that moves an ion beam at a substantially constant velocity across a workpiece. A compensation waveform (e.g., quadratic waveform), having a fixed height and waveform, is also generated and mixed with the main scan waveform (e.g., through a variable mixer) to form a beam scanning waveform. The mixture ratio may be adjusted by an instantaneous vacuum pressure signal, which can be performed at much higher speed and ease than continuously modifying scan waveform. The mixture provides a beam scanning waveform comprising a non-constant slope that changes an ion beam's velocity as it moves across a workpiece. Therefore, the resultant beam scanning waveform, with a non-constant slope, is able to account for pressure non-uniformities in dose along the fast scan direction.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: December 20, 2011
    Assignee: Axcelis Technologies Inc.
    Inventor: Shu Satoh
  • Patent number: 8080212
    Abstract: The invention is a method, triggering unit, and system for activating an oxygen scavenging composition at high speeds. The triggering unit includes a plurality of UV lamps that can operate at increased temperatures and have high output intensites from about 10 to 35 mW/cm2. The triggering unit can activate films at speeds from about 20 to 100 fps. Mercury amalgam lamps are useful in the practice of the invention. The invention also includes a UV dose management system and film tensioning system that facilitates triggering at high film speeds. The UV dose management system controls the amount of UV exposure that the film receives so that the oxygen scavenging rate of the activated composition can be controlled.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: December 20, 2011
    Assignee: Cryovac, Inc.
    Inventors: Drew Ve Speer, Paul D. Spence, Gregory E. McDonald, Timothy S. Dennison, Gregory H. Grossman, Csaba F. Kiss, Jason G. Verrett, Charles C. Zende
  • Patent number: 8076656
    Abstract: A writing apparatus includes a storage unit configured to store writing data, an acquiring unit configured to acquire information on a pattern defined based on the writing data, a selecting unit configured to select a format of a plurality of formats having different number of bits to be used, based on acquired information on the pattern, for each predetermined region, a converting unit configured to convert data in the predetermined region defined by the writing data, by using a selected format, and a writing unit configured to write a predetermined pattern on a target workpiece, based on converted data in the predetermined region.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: December 13, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hayato Shibata
  • Patent number: 8076655
    Abstract: The present invention provides a method of cleaning optical surfaces in an irradiation unit in order to remove contaminations deposited on said optical surfaces. The method includes a cleaning step in which a first gas or gas mixture is brought into contact with said optical surfaces thereby forming a volatile compound with a first portion of said contaminations. In an operation pause of the irradiation unit prior to the cleaning step, a pretreatment step is performed, in which a second gas or gas mixture is brought into contact with said optical surfaces. Said second gas or gas mixture is selected to react with a second portion of said contaminations different from said first portion to form a reaction product, which is able to form a volatile compound with said first gas or gas mixture.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: December 13, 2011
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Guenther Hans Derra, Thomas Kruecken, Christof Metzmacher, Achim Weber, Peter Zink
  • Patent number: 8076659
    Abstract: An extreme ultraviolet (EUV) light source device and foil trap, the device including a vessel; an EUV radiating species supply means that feeds an extreme ultraviolet radiating species into the vessel; a discharge part with discharge electrodes that heat and excite the EUV radiating species and generate a high-temperature plasma; a collector mirror collecting EUV radiation emitted from the plasma; the foil trap installed between the discharge part and the mirror; an extractor part extracting the collected radiation; and an evacuation means exhausting and regulating pressure within the vessel. The foil trap includes foils extending radially from a main axis thereof to capture debris from the light source, while allowing the emitted radiation to pass through a region thereof to the mirror. A length of at least part of the foils in directions parallel to the main axis is shorter in positions close to the main axis than distant therefrom.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: December 13, 2011
    Assignee: Ushiodenki Kabushiki Kaisha
    Inventors: Takahiro Shirai, Takahiro Inoue
  • Patent number: 8076649
    Abstract: A charged particle beam writing apparatus includes a stage on which a target object is placed; an emitting unit configured to emit a charged particle beam to the stage side; a blocking unit arranged between the emitting unit and the stage and configured to block the charged particle beam emitted; a deflector having electrodes through which a current flows by applying a voltage and configured to deflect the charged particle beam passing between the electrodes onto the blocking unit by applying a predetermined voltage across the electrodes; an optical axis adjusting unit configured to correct optical axis deviation of the charged particle beam generated by continuously repeating irradiation (beam-ON) of the charged particle beam on a target object and blocking (beam-OFF) of the beam by applying a two-step voltage to the deflector; and a control unit configured to control the optical axis adjusting unit such that an amount of the optical axis deviation is corrected.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: December 13, 2011
    Assignee: NuFlare Technology, Inc.
    Inventors: Michihiro Sakai, Ryoichi Kakehi, Kiyoshi Hattori
  • Patent number: 8077377
    Abstract: The invention relates to methods to improve SLMs, in particular to reflecting micromechanical SLMs, for applications with simple system architecture, high precision, high power handling capability, high throughput, and/or high optical processing capability. Applications include optical data processing, image projection, lithography, image enhancement, holography, optical metrology, coherence and wavefront control, and adaptive optics. A particular aspect of the invention is the achromatization of diffractive SLMs so they can be used with multiple wavelengths sequentially, simultaneously or as a result of spectral broadening in very short pulses.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: December 13, 2011
    Assignee: Micronic MyData AB
    Inventor: Torbjorn Sandstrom
  • Patent number: 8071955
    Abstract: The present invention relates, in general, to a deflector for microcolumns for generating electron beams, and, more particularly, to a deflector capable of scanning or shifting electron beams or functioning as a stigmator using a magnetic field. The deflector (100) according to the present invention includes one or more deflector electrodes. Each of the deflector electrodes includes a core (12) made of a conductor or a semiconductor, and a coil (11) wound around the core (12).
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: December 6, 2011
    Assignee: Cebt Co. Ltd.
    Inventors: Ho Seob Kim, Young Chul Kim
  • Patent number: 8071958
    Abstract: A method of manufacturing a semiconductor device includes the steps of: providing a supply of molecules containing a plurality of dopant atoms into an ionization chamber, ionizing said molecules into dopant cluster ions, extracting and accelerating the dopant cluster ions with an electric field, selecting the desired cluster ions by mass analysis, modifying the final implant energy of the cluster ion through post-analysis ion optics, and implanting the dopant cluster ions into a semiconductor substrate. In general, dopant molecules contain n dopant atoms, where n is an integer number greater than 10. This method enables increasing the dopant dose rate to n times the implantation current with an equivalent per dopant atom energy of 1/n times the cluster implantation energy, while reducing the charge per dopant atom by the factor n.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: December 6, 2011
    Assignee: SemEquip, Inc.
    Inventors: Thomas N. Horsky, Dale C. Jacobson
  • Patent number: 8071963
    Abstract: A debris mitigation system for trapping contaminant material coming from a debris-generating radiation source. The system includes a contamination barrier constructed and arranged to rotate about an axis, and a magnet structure constructed and arranged to provide a magnetic field for deflecting charged debris from the radiation source. The magnet structure is constructed and arranged to provide a magnetic field through the contamination barrier. The magnetic field, when passing through the contamination barrier, is oriented along planes generally coinciding with the axis of rotation of the contamination barrier.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: December 6, 2011
    Assignees: ASML Netherlands B.V., Koninklijke Philips Electronics N.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Derk Jan Wilfred Klunder, Wouter Anthon Soer, Kurt Gielissen
  • Patent number: 8071943
    Abstract: Provided is a mask inspection apparatus including: emitting unit for emitting electron beams onto a sample; electron detecting unit for detecting the quantity of electrons produced, by the emission of the electron beams, from the sample with patterns formed thereon; image processing unit for generating image data for the patterns on the basis of the electron quantity; and controlling unit for controlling the emitting unit, the electron detecting unit, and the image processing unit. The controlling unit calculates, from the size of a designated observation area of the sample, a division number of divisional images that are synthesized to form a joint image that covers the entire designated observation area. The controlling unit determines divisional areas so that adjacent divisional areas partially overlap each other. The controlling unit acquires SEM images for the respective divisional areas.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: December 6, 2011
    Assignee: Advantest Corp.
    Inventors: Tsutomu Murakawa, Toshimichi Iwai, Jun Matsumoto, Takayuki Nakamura, Yoshiaki Ogiso
  • Patent number: 8071964
    Abstract: An ion implantation system and associated method includes a scanner configured to scan a pencil shaped ion beam into a ribbon shaped ion beam, and a beam bending element configured to receive the ribbon shaped ion beam having a first direction, and bend the ribbon shaped ion beam to travel in a second direction. The system further includes an end station positioned downstream of the beam bending element, wherein the end station is configured to receive the ribbon shaped ion beam traveling in the second direction, and secure a workpiece for implantation thereof. In addition, the system includes a beam current measurement system located at an exit opening of the beam bending element that is configured to measure a beam current of the ribbon shaped ion beam at the exit opening of the beam bending element.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: December 6, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Shu Satoh
  • Publication number: 20110294071
    Abstract: An electron gun includes a cathode, a bias electrode, and an anode disposed along a common axis in order thereof. In the electron gun, an electron emitting surface of the cathode has such a shape that brightness of a crossover is more uniform than that in a case that both a first region including a point on the axis and a second region located outside the first region have a first radius of curvature.
    Type: Application
    Filed: May 23, 2011
    Publication date: December 1, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Nobuo Imaoka
  • Publication number: 20110291022
    Abstract: An ion implantation system, method, and apparatus for abating condensation in a cold ion implant is provided. An ion implantation apparatus is configured to provide ions to a workpiece positioned in a process chamber. A sub-ambient temperature chuck supports the workpiece during an exposure of the workpiece to the plurality of ions. The sub-ambient temperature chuck is further configured to cool the workpiece to a processing temperature, wherein the process temperature is below a dew point of an external environment. A load lock chamber isolates a process environment of the process chamber from the external environment. A light source provides a predetermined wavelength of electromagnetic radiation to the workpiece concurrent with the workpiece residing within the load lock chamber, wherein the predetermined wavelength or range of wavelengths is associated with a maximum radiant energy absorption range of the workpiece, wherein the light source is configured to selectively heat the workpiece.
    Type: Application
    Filed: November 11, 2010
    Publication date: December 1, 2011
    Applicant: Axcelis Technologies, Inc.
    Inventors: William D. Lee, Marvin Farley, William DiVergilio
  • Publication number: 20110291021
    Abstract: One embodiment disclosed relates to an apparatus for reflection electron beam lithography. The apparatus includes an electron source, a patterned electron reflector generator structure, a stage, a demagnifying electron lens, and an ExB separator. The ExB separator configured to bend a trajectory of the electron beam towards the dynamic pattern generator structure. The patterned electron reflector structure is configured to reflect select portions of the electron beam so as to form a patterned electron beam. The ExB separator is further configured to allow the patterned electron beam to pass straight through towards the demagnifying electron lens. The demagnifying electron lens is configured to demagnify the patterned electron beam and project the demagnified patterned electron beam onto the target substrate. The apparatus disclosed herein has a straight projection axis and substantially reduces the electron beam path by a factor of three-to-one (compared to a prior apparatus which uses a magnetic prism).
    Type: Application
    Filed: May 28, 2010
    Publication date: December 1, 2011
    Inventor: Paul F. PETRIC