Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8304724
    Abstract: The edges of the reticle are detected with respect to the microstructured patterns exposed by the stepper, and the shapes of the microstructured patterns at the surface and at the bottom of the photoresist are detected. The microstructured patterns are evaluated by calculating, and displaying on the screen, the dislocation vector that represents the relationship in position between the detected patterns on the surface and at the bottom of the photoresist. Furthermore, dislocation vectors between the microstructured patterns at multiple positions in a single-chip or single-shot area or on one wafer are likewise calculated, then the sizes and distribution status of the dislocation vectors at each such position are categorized as characteristic quantities, and the corresponding tendencies are analyzed. Thus, stepper or wafer abnormality is detected.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: November 6, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Fumihiro Sasajima, Osamu Komuro, Fumio Mizuno
  • Patent number: 8299446
    Abstract: Sub-field enhanced global alignment (SEGA) methods for aligning reconstituted wafers in a lithography process are disclosed. The SEGA methods provide the ability to accommodate chip placement errors for chips supported by a reconstituted wafer when performing a lithographic process having an overlay requirement. The SEGA methods include measuring chip locations to determine sub-fields of the reconstituted wafer over which enhanced global alignment (EGA) can be performed on the chips therein to within the overlay requirement. The SEGA methods further included individually performing EGA over the respective sub-fields. The SEGA methods take advantage of the benefits of both EGA and site-by-site alignment and are particularly applicable to wafer-level packing lithographic processes such as fan-out wafer-level packaging.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: October 30, 2012
    Assignee: Ultratech, Inc.
    Inventors: Andrew M. Hawryluk, Emily True, Manish Ranjan, Warren Flack, Detlef Fuchs
  • Publication number: 20120267802
    Abstract: The invention relates to a substrate for use in a lithography system, said substrate being provided with an at least partially reflective position mark comprising an array of structures, the array extending along a longitudinal direction of the mark, characterized in that said structures are arranged for varying a reflection coefficient of the mark along the longitudinal direction, wherein said reflection coefficient is determined for a predetermined wavelength. In an embodiment a specular reflection coefficient varies along the substrate, wherein high order diffractions are substantially absorbed by the substrate. A position of a beam on a substrate can thus be determined based on the intensity of its reflection in the substrate. The invention further relates to a positioning device and lithography system for cooperation with the substrate, and a method of manufacture of the substrate.
    Type: Application
    Filed: April 23, 2012
    Publication date: October 25, 2012
    Inventors: Guido De Boer, Niels Vergeer
  • Patent number: 8294118
    Abstract: Provided are a method for adjusting the optical axis of a charged particle beam and a device therefor, wherein an artificial criterion is quantified, and whether or not the adjustment of the axis of a charged particle beam is necessary is judged on the basis of the quantified criterion.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: October 23, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akemi Kono, Osamu Nasu
  • Patent number: 8294125
    Abstract: One embodiment relates to an electron-beam apparatus for defect inspection and/or review of substrates or for measuring critical dimensions of features on substrates. The apparatus includes an electron gun and an electron column. The electron gun includes an electron source configured to generate electrons for an electron beam and an adjustable beam-limiting aperture which is configured to select and use one aperture size from a range of aperture sizes. Another embodiment relates to providing an electron beam in an apparatus. Advantageously, the disclosed apparatus and methods reduce spot blur while maintaining a high beam current so as to obtain both high sensitivity and high throughput.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: October 23, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Liqun Han, Marian Mankos, Xinrong Jiang, Rex Runyon, John Greene
  • Patent number: 8294128
    Abstract: An apparatus for forming a beam of electromagnetic radiation. The apparatus includes a plasma radiation source, a foil trap provided with a plurality of thin foils that extend substantially parallel to the direction of radiation from the plasma source, and a grid disposed between the plasma radiation source and the foil trap. A space is located between the grid and the foil trap. An electrical potential application circuit is constructed and arranged to apply an electrical potential to the grid so that the grid repels electrons emitted by the plasma radiation source and creates a positive space charge between the grid and the foil trap to deflect ions emitted by the plasma radiation source to the foil trap. A distance between the grid and the foil trap is at least equal to one-half of a radius of the foil trap.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: October 23, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Vladimir Mihailovitch Krivtsun, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Evgeny Dmitrievich Korop, Konstantin Nikolaevich Koshelev, Yurii Victorovitch Sidelnikov, Oleg Yakushev
  • Patent number: 8294117
    Abstract: The invention relates to a multiple beam charged particle optical system comprising: a charged particle source for generating a plurality of charged particle beamlets, and charged particle optics for directing the charged particle beamlets from the charged particle source towards a target, wherein each charged particle beamlet defines a beamlet center line, said charged particle optics comprising one or more electrostatic lens arrays, each comprising two or more array electrodes for generating a plurality of electrostatic lenslets, wherein each lenslet is arranged for focusing a corresponding charged particle beamlet, and wherein each lenslet defines a lenslet optical axis, wherein at least one of said one or more electrostatic lens arrays comprises one or more off-axis electrostatic lenslets, wherein the beamlet center line of the corresponding charged particle beamlet passes through said off-axis electrostatic lenslet at a distance from its lenslet optical axis.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: October 23, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Aernout Christiaan Zonnevylle
  • Patent number: 8294123
    Abstract: The present invention relates to a laser processing method and the like having a structure for making it possible to process an object to be processed in various ways while accurately adjusting the installation state of the object. The method irradiates the object with plural adjustment laser light beams that are set in a specific positional relationship against a converging point of processing laser light beam, and adjusts the state of installation of the object while monitoring irradiation areas of the adjustment laser light beams on the surface of the object. Each irradiation directions of adjustment laser light beams is different from that of the processing laser light beam. By reflecting the irradiation condition of the adjustment laser light beam and monitored information of the irradiation areas in positional adjustment of the object, the installation state of the object can be adjusted in accordance with various kinds of processing.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: October 23, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Kazuo Nakamae, Motoki Kakui, Shinobu Tamaoki
  • Patent number: 8294124
    Abstract: An ion implanter system has a movable wafer support for holding a semiconductor wafer and a beam source that generates a beam for implanting ions in the semiconductor wafer while the wafer is moving. A plurality of path segments are identified, through which the wafer support is to move to expose the semiconductor wafer to the ion beam. A first position and a second position are identified for each respective one of the plurality of path segments, such that, when the wafer is in each first position and each second position, a perimeter of the beam projected in a plane of the wafer is tangent to a perimeter of the wafer. The ion implanter is configured to automatically move the wafer along each of the plurality of path segments, starting at the respective first position on each respective path segment and stopping at the respective second position on the same segment, so as to expose the wafer to the beam for implanting ions in the wafer.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: October 23, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Keung Hui, Chun-Lin Chang
  • Patent number: 8290743
    Abstract: The charged particle beam writing apparatus includes a position deflection control circuit. First digital data that is to be used for circuit diagnosis is transmitted from the position deflection control circuit to the DAC amplifier unit at the same rate as a rate of writing on a product reticle and stored in a first maintenance memory. Second digital data is output from a digital section included in the DAC amplifier unit in response to the first digital data and stored in a second maintenance memory. A maintenance clock generator generates a clock signal and reads the first digital data stored in the first maintenance memory and the second digital data stored in the second maintenance memory. The first digital data thus read is compared with the second digital data thus read for each bit to diagnose the digital section.
    Type: Grant
    Filed: July 22, 2009
    Date of Patent: October 16, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Seiichi Tsuchiya, Akinori Mine
  • Patent number: 8288724
    Abstract: The invention relates to a dark-field detector for an electron microscope. The detector comprises a photodiode for detecting the scattered electrons, with an inner electrode and an outer electrode. As a result of the resistive behavior of the surface layer the current induced by a scattered electron, e.g. holes, are divided over the electrodes, so that a current I1 and I2 is induced, the sum of the current proportional to the energy of the impinging electron and the normalized ratio a function of the radial position where the electron impinges.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: October 16, 2012
    Assignee: FEI Company
    Inventors: Cornelis Sander Kooijman, Gerardus Nicolaas Anne van Veen, Albertus Aemillius Seyno Sluijterman
  • Patent number: 8289038
    Abstract: To analyze an electric component in depth, provision is made to submit the aforementioned component to focused laser radiation. It is shown that by modifying the altitude of the focus in the component, some internal parts of the aforementioned component can be characterized more easily.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: October 16, 2012
    Assignee: European Aeronautic Defence and Space Compai
    Inventors: Florent Miller, Nadine Buard, Imad Lahoud, Thierry Carriere, Patrick Heins
  • Patent number: 8288740
    Abstract: A method for making a specimen assembly for atom probe analysis in an energetic-beam instrument includes milling a post near a region of interest in a sample in the energetic-beam instrument, so that the post has a free end. The probe tip of a nano-manipulator probe shaft is attached to the free end of the post and the post is cut free from the sample to form a rough specimen, so that the region of interest in the rough specimen is exposed at approximately the location where the post is cut from the sample. A specimen assembly form is provided having an open area inside its perimeter. The probe shaft bearing the specimen is joined to the specimen assembly form, so that the region of interest in the rough specimen is located in the open area. Thereafter, the probe shaft can be cut off outside the perimeter of the specimen assembly form, and the specimen conveniently held and sharpened for atom probe analysis. Specimen assembly forms made by the method are also disclosed.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 16, 2012
    Assignee: Omniprobe, Inc.
    Inventor: Gonzalo Amador
  • Publication number: 20120258605
    Abstract: A device is intended for a laser lift-off method to sever at least one layer from a carrier. The device includes a laser that generates pulsed laser radiation and at least one beam splitter. The laser radiation is divided into at least two partial beams by the at least one beam splitter. The partial beams are superimposed in an irradiation plane, the irradiation plane being provided such that a major side of the carrier remote from the layer is arranged therein. At the irradiation plane, an angle (?) between the at least two partial beams is at least 1.0°.
    Type: Application
    Filed: October 21, 2010
    Publication date: October 11, 2012
    Applicant: OSRAM Opto Semiconductors GmbH
    Inventor: Ralph Wagner
  • Publication number: 20120256105
    Abstract: The exposure device is able to supply only EUV radiation to a mask, while eliminating radiation other than the EUV radiation. A multi layer made from a plurality of Mo/Si pair layers is provided upon the front surface of a mirror, and blazed grooves are formed in this multi layer. Radiation which is incident from a light source device is incident upon this mirror, and is reflected or diffracted. Since the reflected EUV radiation (including diffracted EUV radiation) and the radiation of other wavelengths are reflected or diffracted at different angles, accordingly their directions of progression are different. By eliminating the radiation of other wavelengths with an aperture and/or a dumper, it is possible to irradiate a mask only with EUV radiation of high purity.
    Type: Application
    Filed: June 12, 2012
    Publication date: October 11, 2012
    Applicant: Komatsu Ltd./Gigaphoton, Inc.
    Inventors: Masato MORIYA, Osamu Wakabayashi, Georg Soumagne
  • Patent number: 8283644
    Abstract: Provided are improved apparatus and methods for radiative treatment. In some embodiments, a semiconductor processing apparatus for radiative cure includes a process chamber and a radiation assembly external to the process chamber. The radiation assembly transmits radiation into the chamber on a substrate holder through a chamber window. A radiation detector measures radiation intensity from time to time. The assembly includes a gas inlet and exhaust operable to flow a radiation-activatable cooling gas through the radiation assembly.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: October 9, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Boaz Kenane, James Lee, Xiaolan Chen
  • Patent number: 8283643
    Abstract: An EUV light source device is described herein which may comprise a laser beam travelling along a beam path, at least a portion of the beam path aligned along a linear axis; a material for interaction with the laser beam at an irradiation site to create an EUV light emitting plasma; a first reflector having a focal point, the first reflector positioned with the focal point on the linear axis, the first reflector receiving laser light along the beam path; and a second reflector receiving laser light reflected by the first reflector and directing the laser light toward the irradiation site.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: October 9, 2012
    Assignee: Cymer, Inc.
    Inventors: William N. Partlo, Igor V. Fomenkov, Jason Paxton
  • Patent number: 8278220
    Abstract: A microscopic metallic structure is produced by creating or exposing a patterned region of increased conductivity and then forming a conductor on the region using electrodeposition. In some embodiments, a microscopic metallic structure is formed on a substrate, and then the substrate is etched to remove the structure from the substrate. In some embodiments, a focused beam of gallium ion without a deposition precursor gas scans a pattern on a silicon substrate, to produce a conductive pattern on which a copper structure is then formed by electrochemical deposition of one or more metals. The structure can be freed from the substrate by etching, or can used in place. A beam can be used to access an active layer of a transistor, and then a conductor can be electrodeposited to provide a lead for sensing or modifying the transistor operation while it is functioning.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: October 2, 2012
    Assignee: FEI Company
    Inventors: Theresa Holtermann, Anthony Graupera, Michael Dibattista
  • Patent number: 8278163
    Abstract: A semiconductor processing apparatus includes: a stage on which a substrate having a semiconductor film to be processed is to be mounted; a supply section that supplies a plurality of energy beams onto the semiconductor film mounted on the stage in such a way that irradiation points of the energy beams are aligned at given intervals; and a control section that moves the plurality of energy beams and the substrate relative to each other in a direction not in parallel to alignment of the irradiation points of the plurality of energy beams supplied by the supply section, and scans the semiconductor film with the irradiation points of the plurality of energy beams in parallel to thereby control a heat treatment on the semiconductor film.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: October 2, 2012
    Assignee: Sony Corporation
    Inventors: Akio Machida, Toshio Fujino, Tadahiro Kono, Katsuji Takagi, Shinsuke Haga
  • Patent number: 8278636
    Abstract: A radiation source is configured to generate radiation. The radiation source includes a fuel droplet generator constructed and arranged to generate a stream of droplets of fuel that are directed to a plasma generation site; a laser constructed and arranged to generate a laser beam that is directed to the plasma generation site, an angle between the direction of movement of the stream of droplets and the direction of the laser beam being less than about 90°; and a collector constructed and arranged to collect radiation generated by a plasma formed at the plasma formation site when the beam of radiation and a droplet collide. The collector is configured to reflect the radiation substantially along an optical axis of the radiation source. The laser beam is directed to the plasma generation site through an aperture provided in the collector.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: October 2, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Erik Roelof Loopstra, Hendrikus Gijsbertus Schimmel
  • Patent number: 8268183
    Abstract: A solid surface is processed while corner portions of a relief structure are protected from deformation. A method of processing a solid surface with a gas cluster ion beam includes a cluster protection layer formation step of forming, on the solid surface, a relief structure having protrusions with a cluster protection layer formed to cover an upper part thereof and recesses without the cluster protection layer; an irradiation step of emitting a gas cluster ion beam onto the solid surface having the relief structure formed in the cluster protection layer formation step; and a removal step of removing the cluster protection layer. A thickness T of the cluster protection layer satisfies T > nY + ( b 2 ? Y 2 ? n - nY 2 ? ( b 4 - 16 ? a 2 ) 1 2 2 ) 1 2 , where n is a dose of the gas cluster ion beam, and Y is an etching efficiency of the cluster protection layer, expressed as an etching volume per cluster (a and b are constants).
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: September 18, 2012
    Assignee: Japan Aviaton Electronics Industry, Limited
    Inventors: Akiko Suzuki, Akinobu Sato, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki
  • Patent number: 8269188
    Abstract: A charged particle beam apparatus includes an ion beam column having an ion source for generating an ion beam, a first objective lens electrode which forms a first objective lens for focusing the ion beam on a sample, and a second objective lens electrode which is disposed at a position closer to the sample than the first objective lens electrode and forms a second objective lens for focusing an ion beam accelerated with a lower acceleration voltage on the sample.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: September 18, 2012
    Assignee: SII NanoTechnology Inc.
    Inventor: Takashi Ogawa
  • Patent number: 8263941
    Abstract: A ribbon beam mass analyzer having a first and second solenoid coils and steel yoke arrangement. Each of the solenoid coils have a substantially “racetrack” configuration defining a space through which an ion ribbon beam travels. The solenoid coils are spaced apart along the direction of travel of the ribbon beam. Each of the solenoid coils generates a uniform magnetic field to accommodate mass resolution of wide ribbon beams to produce a desired image of ions generated from an ion source.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: September 11, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Victor Benveniste, James S. Buff, Frank Sinclair, Joseph C. Olson
  • Publication number: 20120223294
    Abstract: The present invention relates to a method and a device for providing a current of spin-polarised electrons. More particularly, the present invention is suited for use in spin electronics or detection of spin-polarised electrons.
    Type: Application
    Filed: February 3, 2012
    Publication date: September 6, 2012
    Inventors: Benjamin Göhler, Volker Hamelbeck, G. Friedrich Hanne, Helmut Zacharias, Ron Naaman, Tal Zvi Markus
  • Publication number: 20120223062
    Abstract: Apparatuses and methods are provided for processing a surface of a substrate. The substrate may have a surface pattern that exhibits directionally and/or orientationally different reflectivities relative to radiation of a selected wavelength and polarization. The apparatus may include a radiation source that emits a photonic beam of the selected wavelength and polarization directed toward the surface at orientation angle and incidence angle selected to substantially minimize substrate surface reflectivity variations and/or minimize the maximum substrate surface reflectivity during scanning. Also provided are methods and apparatuses for selecting an optimal orientation and/or incidence angle for processing a surface of a substrate.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 6, 2012
    Applicant: Ultratech, Inc.
    Inventor: Andrew M. Hawryluk
  • Patent number: 8258492
    Abstract: [Technical Problem] To provide a differential evacuation system capable of easily maintaining, at a low cost, a large differential pressure between a light generation chamber and an illumination optical chamber in which optical processing, e.g. exposure, is performed by using extreme ultraviolet (EUV) light generated in the light generation chamber, and yet capable of sufficiently ensuring a desired optical path. [Solution to Problem] The differential evacuation system has a light generation chamber 10 that generates EUV light, an illumination optical chamber 100 in which optical processing is performed by using the EUV light generated in the light generation chamber 10, and a chamber connecting passage 150 that connects together the light generation chamber 10 and the illumination optical chamber 100 to guide the EUV light generated in the light generation chamber 10 into the illumination optical chamber 100.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: September 4, 2012
    Assignee: Gigaphoton Inc.
    Inventors: Hiroshi Someya, Yukio Watanabe
  • Patent number: 8258484
    Abstract: The invention relates to a charged particle multi-beamlet lithography system for exposing a target using a plurality of beamlets. The system has a beam generator, a beamlet blanker, and a beamlet projector. The beam generator is configured to generate a plurality of charged particle beamlets. The beamlet blanker is configured to pattern the beamlets. The beamlet projector is configured to project the patterned beamlets onto the target surface. The system further has a deflection device. The deflection device has a plurality of memory cells. Each memory cell is provided with a storage element and is connected to a switching electrode of a deflector.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: September 4, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan Jaco Wieland, Alexander Hendrik Vincent Van Veen
  • Patent number: 8258491
    Abstract: A pattern writing system includes a plurality of control units configured to use different communication standards; a pattern writing unit configured to be controlled by the plurality of control units and write a pattern on a target object by using a charged particle beam; a storage unit configured to receive parameter information from an external slave computer and stores the parameter information; a first interface information circuit group configured to output a received parameter information to at least one of the plurality of control units in conformity with a communication standard on the at least one of plurality of control units; a main computer; and a second interface circuit group configured to receive a request from the main computer, input parameter information been setting in the plurality of control units without passing through the storage unit, convert communication standards of the parameter information input into a communication standard used by the main computer, and output the parameter in
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: September 4, 2012
    Assignee: NuFlare Technology, Inc.
    Inventor: Yoshikuni Goshima
  • Patent number: 8258472
    Abstract: A charged particle radiation device wherein the position or the size of a FOV can be easily determined even if a number of measuring points are provided on a sample, and an image capturing condition determining method using the charged particle radiation device are provided. An image capturing condition determining method wherein the field of view of a charged particle radiation device is determined so as to include a plurality of measuring points, characterized in that whether or not the measuring points are overlapped with four sides of the field of view is judged; the field of view is moved so that the measuring points are moved to the inside or outside of the field of view; and the position of the field of view after being moved is determined as a position of the field of view of the charged particle radiation device, and a device to realize the method are proposed.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: September 4, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tamotsu Shindo, Yuji Tange
  • Patent number: 8258475
    Abstract: There is provided a charged particle radiation device provided with an aberration corrector capable of correcting aberration with high precision in a short time by automatically setting an aberration coefficient measuring condition to thereby realize measurement with high precision. The charged particle radiation device has a feature that a value of defocus and a value of astigma, occurring owing to aberration at the time of the beam tilting, are estimated on the basis of results of aberration measurement, thereby adjusting an electron optical system on the basis of these values.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: September 4, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kotoko Hirose, Takeshi Kawasaki, Tomonori Nakano
  • Patent number: 8258490
    Abstract: Prior to applying of ultraviolet rays to a surface of a wafer with a protective tape joined thereto that is placed and held on a holding table, an illumination sensor moves to a position below an ultraviolet irradiation unit having ultraviolet light emitting diodes arranged in one dimensional array to measure ultraviolet intensity in a position corresponding to a surface of the protective tape, and output voltage of each diode is controlled so as to maintain a uniform accumulated quantity of light in an area of the protective tape where ultraviolet rays are applied that is determined from the result of measurement and a turning velocity of the holding table.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: September 4, 2012
    Assignee: Nitto Denko Corporation
    Inventors: Masayuki Yamamoto, Masaru Irie
  • Patent number: 8253114
    Abstract: An ion source includes a plasma generating chamber into which an ionization gas containing fluorine is introduced, a hot cathode provided on one side in the plasma generating chamber, an opposing reflecting electrode which is provided on other side in the plasma generating chamber and reflects electrons when a negative voltage is applied from a bias power supply to the opposing reflecting electrode, and a magnet for generating a magnetic field along a line, which connects the hot cathode and the opposing reflecting electrode, in the plasma generating chamber. The opposing reflecting electrode is formed of an aluminum containing material.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: August 28, 2012
    Assignee: Nissin Ion Equipment Co., Ltd.
    Inventors: Takatoshi Yamashita, Tadashi Ikejiri, Tetsuya Igo
  • Patent number: 8253119
    Abstract: One embodiment relates to an apparatus of a dynamic pattern generator for reflection electron beam lithography. The apparatus includes a plurality of base electrodes in a two-dimensional array, an insulating border surrounding each base electrode so as to electrically isolate the base electrodes from each other; and a sidewall surrounding each base electrode. The sidewall comprises a plurality of stacked electrodes which are separated by insulating layers. In addition, the base electrodes are advantageously shaped so as to be concave. Furthermore, a conformal coating may be advantageously applied over the base electrodes and sidewalls. Another embodiment relates to an apparatus for reflection electron beam lithography. The apparatus includes a shadow mask configured to form an array of incident electron beamlets. The shadow mask comprises an array of holes which correspond one-to-one with an array of pixel pads of an electron reflective patterned structure.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: August 28, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Alan D. Brodie, Paul Petric, Mark A. McCord
  • Patent number: 8253066
    Abstract: Laser-based methods and systems for removing one or more target link structures of a circuit fabricated on a substrate includes generating a pulsed laser output at a predetermined wavelength less than an absorption edge of the substrate are provided. The laser output includes at least one pulse having a pulse duration in the range of about 10 picoseconds to less than 1 nanosecond, the pulse duration being within a thermal laser processing range. The method also includes delivering and focusing the laser output onto the target link structure. The focused laser output has sufficient power density at a location within the target link structure to reduce the reflectivity of the target link structure and efficiently couple the focused laser output into the target link structure to remove the target link structure without damaging the substrate.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: August 28, 2012
    Assignee: GSI Group Corporation
    Inventors: Bo Gu, Donald V. Smart, James J. Cordingley, Joohan Lee, Donald J. Svetkoff, Shepard D. Johnson, Jonathan S. Ehrmann
  • Patent number: 8247782
    Abstract: An apparatus and a method for investigating and/or modifying a sample is disclosed. The apparatus comprises a charged particle source, at least one particle optical element forming a charged particle beam of charged particles emitted by said charged particle source. The apparatus further comprises an objective lens which generates a charged particle probe from said charged particle beam. The objective lens defines a particle optical axis. A first electrostatic deflection element is arranged—in a direction of propagation of charged particles emitted by said charged particle source—downstream of the objective lens. The electrostatic deflection element deflecting the charged particle beam in a direction perpendicular to said charged particle optical axis and has a deflection bandwidth of at least 10 MHz.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: August 21, 2012
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Klaus Edinger, Rainer Becker, Michael Budach, Thorsten Hofmann
  • Publication number: 20120206723
    Abstract: A photodiode device including a photosensitive diffusion junction within a single layer. The photodiode device further includes a resonant grating located within the single layer. The photosensitive diffusion junction is located within the resonant grating.
    Type: Application
    Filed: January 19, 2012
    Publication date: August 16, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthias Fertig, Thomas Morf, Nikolaj Moll, Martin Kreissig, Karl-Heinz Brenner, Maximilian Auer
  • Patent number: 8242467
    Abstract: The inventions relates to a lithography system in which an electronic image pattern is delivered to a exposure tool for projecting an image to a target surface, said exposure tool comprising a control unit for controlling exposure projections, said control unit at least partly being included in the projection space of the said exposure tool, and being provided with control data by means of light signals, said light signals being coupled in to said control unit by using a free space optical interconnect comprising modulated light beams that are emitted to a light sensitive part of said control unit, wherein the modulated light beams are coupled in to said light sensitive part using a holed mirror for on axis incidence of said light beams on said light sensitive part, the hole or, alternatively, holes of said mirror being provided for passage of said exposure projections.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: August 14, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Remco Jager, Aukje Arianne Annette Kastelijn, Guido de Boer, Marco Jan Jaco Wieland, Stijn Willem Karel Herman Steenbrink
  • Patent number: 8242460
    Abstract: A process module for treating a dielectric film and, in particular, a process module for exposing, for example, a low dielectric constant (low-k) dielectric film to ultraviolet (UV) radiation is described. The process module includes a process chamber, a substrate holder coupled to the process chamber and configured to support a substrate, and a radiation source coupled to the process chamber and configured to expose the dielectric film to electromagnetic (EM) radiation. The radiation source includes a UV source, wherein the UV source has a UV lamp, and a reflector for directing reflected UV radiation from the UV lamp to the substrate. The reflector has a dichroic reflector, and a non-absorbing reflector disposed between the UV lamp and the substrate, and configured to reflect UV radiation from the UV lamp towards the dichroic reflector, wherein the non-absorbing reflector substantially prevents direct UV radiation from the UV lamp to the substrate.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: August 14, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hongyu Yue, Junjun Liu, Jacques Faguet, Dorel I. Toma
  • Patent number: 8242443
    Abstract: A semiconductor device inspection apparatus having a noise subtraction function includes an electron gun, a stage for holding a sample, a main detector for detecting a signal discharged from the sample, and at least one or more sub detector for detecting noise generated from the sample or apparatus so that there can be obtained an image in which the noise caused by discharge generated on the sample or in the apparatus is removed from the signal. The noise subtraction function subtracts the noise detected by the sub detector from the signal detected by the main detector to remove or reduce the noise from the signal.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: August 14, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yusuke Ominami, Yasuhiro Gunji, Yoshiyuki Shichida
  • Patent number: 8242468
    Abstract: Ion implanters are especially suited to meet process dose and energy demands associated with fabricating photovoltaic devices by ion implantation followed by cleaving.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: August 14, 2012
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Thomas Parrill, Aditya Agarwal
  • Patent number: 8242473
    Abstract: A radiation source includes a chamber, a supply constructed and arranged to supply a substance to the chamber at a location that allows the substance to pass through an interaction point within the chamber, a laser constructed and arranged to provide a laser beam to the interaction point so that a radiation emitting plasma is produced when the laser beam interacts with the substance at the interaction point, and a conduit constructed and arranged to deliver unheated buffer gas into the chamber at a location adjacent to the interaction point at a rate that removes heated buffer gas from a region around the interaction point before a subsequent interaction between the laser beam and the substance at the interaction point.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: August 14, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov
  • Patent number: 8242472
    Abstract: [Problem] An extreme ultraviolet light source device in accordance with the present invention corrects an optical performance of a laser beam in an appropriate manner. [Means for Resolution] A guide laser beam that has an optical axis and a beam diameter substantially equivalent to those of a driver pulsed laser beam is introduced into an amplification system 30 that amplifies a laser beam that is output from a driver laser oscillator 20. The guide laser beam is output from a laser device 50 as a continuous light, and is introduced into a light path of the driver pulsed laser beam via a guide laser beam introduction mirror 52. A sensor 44 detects an angle (a direction) of a laser beam and a variation of a curvature of a wave front. A wave front correction controller 60 outputs a signal to a wave front correction part 34 based on a measured result of a sensor 36.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: August 14, 2012
    Assignee: Gigaphoton Inc.
    Inventors: Masato Moriya, Osamu Wakabayashi
  • Patent number: 8237135
    Abstract: An ion implantation method and system that incorporate beam neutralization to mitigate beam blowup, which can be particularly problematic in low-energy, high-current ion beams. The beam neutralization component can be located in the system where blowup is likely to occur. The neutralization component includes a varying energizing field generating component that generates plasma that neutralizes the ion beam and thereby mitigates beam blowup. The energizing field is generated with varying frequency and/or field strength in order to maintain the neutralizing plasma while mitigating the creation of plasma sheaths that reduce the effects of the neutralizing plasma.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: August 7, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventors: Bo H. Vanderberg, William F. DiVergilio
  • Patent number: 8237133
    Abstract: Energy sources and methods for curing in an imprint lithography system are described. The energy sources may include one or more energy elements positioned outside of the viewing range of an imaging unit monitoring elements of the imprint lithography system. Each energy source is configured to provide energy along a path to solidify polymerizable material on a substrate.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: August 7, 2012
    Assignee: Molecular Imprints, Inc.
    Inventors: Mahadevan Ganapathisubramanian, Byung-Jin Choi, Liang Wang, Alex Ruiz
  • Patent number: 8237136
    Abstract: A method and system for treating a non-planar structure is described. The method includes forming a non-planar structure on a substrate. Additionally, the method includes generating a gas cluster ion beam (GCIB) formed from a material source for treatment of the non-planar structure, tilting the substrate relative to the GCIB, and irradiating the non-planar structure with the GCIB. The system includes a substrate tilt actuator coupled to a substrate holder and configured to tilt the substrate holder relative to a GCIB.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: August 7, 2012
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Noel Russell
  • Patent number: 8232532
    Abstract: A tool for patterning a disk such as a magnetic media disk for use in a disk drive system. The tool includes a chamber and a first and second series of magnets, each evenly spaced about the chamber wall. An ion beam source at an end of the chamber emits an ion beam toward the disk which is held within the chamber. The first series of magnets deflect the ion beam away from center and toward the chamber wall. The second ion beam source deflects the ion beam back toward the center so that the ion beam can strike the disk at an angle. In addition, to bending the ion beam, the magnets also rotate the bent ion beam so the movement of the ion beam revolves within the chamber.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: July 31, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Thomas Robert Albrecht, Jeffrey S. Lille
  • Patent number: 8232536
    Abstract: There is provided a particle beam irradiation system so as to provide the dose distribution having more accuracy.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: July 31, 2012
    Assignee: Mitsubishi Electric Corporation
    Inventor: Hisashi Harada
  • Publication number: 20120188522
    Abstract: A reflective optical component is configured to reflect EUV radiation. The reflective optical component has a reflective layer with a bimetal cap layer of differing first and second metals selected to ensure that the outer surface of the cap layer is substantially unreactive or non-adsorptive to sulfur. The bimetal cap layer may be an alloy of the two metals or may consist of a base layer of the first metal deposited on the reflective layer and a surface layer of the second metal on the base layer. The interaction of the two metals may lead to modification of the bonding energy to the outer face of the cap layer of sulfur-containing molecules such as SO2 so that sulfur adsorption, which leads to loss of reflectivity, is reduced or eliminated.
    Type: Application
    Filed: January 25, 2012
    Publication date: July 26, 2012
    Applicant: ASML Netherlands B.V.
    Inventor: Marianna Yuryevna Silova
  • Patent number: 8227768
    Abstract: An ion implantation system configured to produce an ion beam is provided, wherein an end station has a robotic architecture having at least four degrees of freedom. An end effector operatively coupled to the robotic architecture selectively grips and translates a workpiece through the ion beam. The robotic architecture has a plurality of motors operatively coupled to the end station, each having a rotational shaft. At least a portion of each rotational shaft generally resides within the end station, and each of the plurality of motors has a linkage assembly respectively associated therewith, wherein each linkage assembly respectively has a crank arm and a strut. The crank arm of each linkage assembly is fixedly coupled to the respective rotational shaft, and the strut of each linkage assembly is pivotally coupled to the respective crank arm at a first joint, and pivotally coupled to the end effector at a second joint.
    Type: Grant
    Filed: June 18, 2009
    Date of Patent: July 24, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventors: Theodore Smick, Geoffrey Ryding, Ronald F. Horner, Paul Eide, Marvin Farley, Kan Ota
  • Patent number: RE43757
    Abstract: A mechanical scanning stage for high speed image acquisition in a focused beam system. The mechanical scanning stage preferably is a combination of four stages. A first stage provides linear motion. A second stage, above the first stage, provides rotational positioning. A third stage above the rotational stage is moveable in a first linear direction, and the fourth stage above the third stage is positionable in a second linear direction orthogonal to the first direction. The four stages are responsive to input from a controller programmed with a polar coordinate pixel addressing method, for positioning a specimen mounted on the mechanical stage to allow an applied static focus beam to irradiate selected areas of interest, thereby imaged by collecting signals from the specimen using a polar coordinate pixel addressing method.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: October 23, 2012
    Assignee: National University of Singapore
    Inventors: Yong Yu Liu, Daniel S. H. Chan, Jacob C. H. Phang