Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8227774
    Abstract: A method and system for photomask pattern generation is provided, and more specifically, a method and system for feature function aware priority printing is provided. The method of printing a photolithographic mask includes fracturing mask design data into write shapes that are multiples of a spot size and passing fractured mask design data to a write tool. Additionally, the method includes writing one or more non-critical shapes according to one or more time-saving rules.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Brian N. Caldwell, Emily E. F. Gallagher, Steven C. Nash, Jed H. Rankin
  • Patent number: 8227771
    Abstract: A debris prevention system is constructed and arranged to prevent debris emanating from a radiation source from propagating with radiation from the radiation source into or within a lithographic apparatus. The debris prevention system includes a first foil trap that is rotatable around an axis of rotation, and a second foil trap that at least partly encloses the first foil trap. The second foil trap includes a plurality of foils optically open respective to a central location for placement of a radiation source and optically closed respective to directions perpendicular to the axis of rotation.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: July 24, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Anthon Soer, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Patent number: 8227772
    Abstract: An apparatus that forms a source bushing, while comprehending the possible formation of electrically conductive films thereon, is disclosed. Such an apparatus may advantageously be used to isolate an ion source from other components within the ion implanter, as these components may be at different electrical potentials. In one embodiment, the source bushing is constructed from a material having a lower electrical resistance than is currently used. By constructing the bushing in this manner, the effects of the applied lower resistance films is reduced, as the change in effective resistance is reduced. In other embodiments, the source bushing is purposely lined with an electrically semiconducting material, so that the effects of the later applied lining are minimized. In either case, the electrical potential between the two devices that are being isolated by the bushing is more evenly applied across the bushing.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: July 24, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Klaus Becker, Daniel Alvarado
  • Patent number: 8227773
    Abstract: A glitch duration threshold is determined based on an allowable dose uniformity, a number of passes of a workpiece through an ion beam, a translation velocity, and a beam size. A beam dropout checking routine repeatedly measures beam current during implantation. A beam dropout counter is reset each time beam current is sufficient. On a first observation of beam dropout, a counter is incremented and a position of the workpiece is recorded. On each succeeding measurement, the counter is incremented if beam dropout continues, or reset if beam is sufficient. Thus, the counter indicates a length of each dropout in a unit associated with the measurement interval. The implant routine stops only when the counter exceeds the glitch duration threshold and a repair routine is performed, comprising recalculating the glitch duration threshold based on one fewer translations of the workpiece through the beam, and performing the implant routine starting at the stored position.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: July 24, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventor: Shu Satoh
  • Patent number: 8227770
    Abstract: An illumination system is used to illuminate a specified illumination field of an object surface with EUV radiation. The illumination system has an EUV source and a collector to concentrate the EUV radiation in the direction of an optical axis. A first optical element is provided to generate secondary light sources, and a second optical element is provided at the location of these secondary light sources, the second optical element being part of an optical device which includes further optical elements, and which images the first optical element into an image plane into the illumination field. Between the collector and the illumination field, a maximum of five reflecting optical elements are arranged. These optical elements reflect the main beam either grazingly or steeply. The optical axis, projected onto an illumination main plane, is deflected by more than 30° between a source axis portion and a field axis portion.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: July 24, 2012
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Martin Endres, Jens Ossmann
  • Patent number: 8227763
    Abstract: A sequence of series-connected transformers for transmitting power to high voltages incorporates an applied voltage distribution to maintain each transformer in the sequence below its withstanding voltage.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: July 24, 2012
    Assignee: Twin Creeks Technologies, Inc.
    Inventors: Steven Richards, Geoffrey Ryding, Theodore Smick
  • Patent number: 8227752
    Abstract: A method of inspecting an object using a scanning particle beam microscope, the method comprising: operating the microscope in a high-resolution mode by laterally scanning a particle beam of the high-resolution mode; operating the microscope in a 3D-mode for acquiring a three-dimensional representation of the object by laterally scanning a particle beam of the 3D-mode; wherein the particle beam of the high-resolution mode and the particle beam of the 3D-mode have a same beam energy and a same focus distance; and wherein an aperture angle of the particle beam of the 3D-mode is at least 2 times greater, or at least 5 times greater, or at least 10 times greater, or at least 100 times greater than an aperture angle of the particle beam of the high-resolution mode.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: July 24, 2012
    Assignee: Carl Zeiss NTS GmbH
    Inventors: Hubert Mantz, Jaroslaw Paluszynski
  • Patent number: 8222620
    Abstract: A light irradiation apparatus 10 is configured to comprise: a supporting means 11 for supporting a semiconductor wafer W as an irradiated object, the semiconductor wafer W being stuck with an adhesive sheet S having an ultraviolet curable adhesive on a circuit formation surface; and a light irradiating means 13 having a focus axis P at a location spaced by a predetermined distance, and being provided so as to enable head-swinging motion thereof. The supporting means 11 is supported by a multi-joint robot 12, and relatively displaces the wafer W so as to prevent an adhesive layer surface SA of the adhesive sheet S from deviating from a position of the focus axis P, when the ultraviolet ray irradiating means 13 performs the head-swinging motion.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: July 17, 2012
    Assignee: Lintec Corporation
    Inventor: Kenji Kobayashi
  • Patent number: 8222617
    Abstract: A laser-driven particle beam irradiation apparatus includes: a particle beam generator irradiating a target with pulsed laser light to emit a laser-driven particle ray; a beam converging unit forming a transportation path which guides the emitted laser-driven particle ray to an object and spatially converging the laser-driven particle ray; an energy selector selecting an energy and an energy width of the laser-driven particle ray; an irradiation port causing the laser-driven particle ray to scan the object to adjust an irradiation position in the object; and an irradiation controller controlling operation of the particle beam generator, the beam converging unit, the energy selector and the irradiation port.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: July 17, 2012
    Assignees: Kabushiki Kaisha Toshiba, Japan Atomic Energy Agency
    Inventors: Yasushi Iseki, Takeshi Yoshiyuki, Hiroyuki Daido, Masahiro Ikegami, Mamiko Nishiuchi, Akira Noda
  • Patent number: 8222619
    Abstract: A multi-column electron beam exposure apparatus includes: a plurality of column cells; a wafer stage including an electron-beam-property detecting unit for measuring an electron beam property; and a controller for measuring beam properties of electron beams used in all the column cells by using the electron-beam-property detecting unit, and for adjusting the electron beams of the respective column cells so that the properties of the electron beams used in the column cells may be approximately identical. The electron beam property may be any of a beam position, a beam intensity, and a beam shape of the electron beam to be emitted. The electron-beam-property detecting unit may be a chip for calibration with a reference mark formed thereon or a Faraday cup.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: July 17, 2012
    Assignee: Advantest Corp.
    Inventors: Akio Yamada, Hiroshi Yasuda, Mitsuhiro Nakano, Takashi Kiuchi
  • Patent number: 8222599
    Abstract: A method of measuring a three-dimensional device in a wafer is provided. The method comprises the step of forming a trench in the wafer. The trench has a facet passing through the three-dimensional device a predetermined offset from a desired image position. The method further comprises iteratively, until a remaining distance between the facet and the desired image position is less than a predetermined threshold, adjusting one or more parameters of a polishing beam based on the remaining distance, polishing the facet with the polishing beam to position the facet closer to the desired image position, and measuring the remaining distance.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: July 17, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventor: Chester Xiaowen Chien
  • Patent number: 8217372
    Abstract: A gas-cluster-jet generator with improved vacuum management techniques and apparatus is disclosed. The gas-cluster-jet generator comprises a substantially conically shaped vacuum chamber for housing the nozzle and jet exit portions of the gas-cluster-jet generator. A skimmer may be located at the narrow end of the conical chamber and a close-coupled vacuum pump is located at the wide end of the conical chamber. Support members for the nozzle are high conductivity “spider” supports that provide support rigidity while minimizing gas flow obstruction for high pumping speed. The conically shaped vacuum chamber redirects un-clustered gas in a direction opposite the direction of the gas-cluster-jet for efficient evacuation of the un-clustered gas. The nozzle and a skimmer may have fixed precision relative alignment, or may optionally have a nozzle aiming adjustment feature for aligning the gas-cluster-jet with the skimmer and downstream beamline components.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: July 10, 2012
    Assignee: Exogenesis Corporation
    Inventor: Stanley Harrison
  • Patent number: 8216923
    Abstract: An improved, lower cost method of processing substrates, such as to create solar cells is disclosed. In addition, a modified substrate carrier is disclosed. The carriers typically used to carry the substrates are modified so as to serve as shadow masks for a patterned implant. In some embodiments, various patterns can be created using the carriers such that different process steps can be performed on the substrate by changing the carrier or the position with the carrier. In addition, since the alignment of the substrate to the carrier is critical, the carrier may contain alignment features to insure that the substrate is positioned properly on the carrier. In some embodiments, gravity is used to hold the substrate on the carrier, and therefore, the ions are directed so that the ion beam travels upward toward the bottom side of the carrier.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: July 10, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas Bateman, Kevin Daniels, Atul Gupta, Russell Low, Benjamin Riordon, Robert Mitchell, Steven Anella
  • Patent number: 8217348
    Abstract: The invention provides a system for achieving detection and measurement of film thickness reduction of a resist pattern with high throughput which can be applied to part of in-line process management. By taking into consideration the fact that film thickness reduction of the resist pattern leads to some surface roughness of the upper surface of the resist, a film thickness reduction index value is calculated by quantifying the degree of roughness of the part corresponding to the upper surface of the resist on an electron microscope image of the resist pattern which has been used in the conventional line width measurement. The amount of film thickness reduction of the resist pattern is estimated by applying the calculated index value to a database previously made for relating a film thickness reduction index value to an amount of film thickness reduction of the resist pattern.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: July 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Mayuka Iwasaki, Chie Shishido, Maki Tanaka
  • Patent number: 8212227
    Abstract: An electron beam apparatus equipped with a height detection system includes an electron beam unit emitting an electron beam to the specimen, and a height detection system for detecting height of the specimen which is set on a table. The height detection system includes an illumination system configured to direct first and second beams of light through a mask with a multi-slit pattern to a surface of the specimen at substantially opposite azimuth angles and at substantially equal angles of incidence, first and second detectors which respectively detect first and second multi-slit images of the first and second beams reflected from the specimen and generate output signals thereof, and a device which receives the output signals and generates a comparison signal which is responsive to the height of the specimen. An objective lens of the electron beam unit is controlled in accordance with the comparison signal.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: July 3, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Masahiro Watanabe, Takashi Hiroi, Maki Tanaka, Hiroyuki Shinada, Yasutsugu Usami
  • Patent number: 8207513
    Abstract: A charged particle beam apparatus is provided which has high resolving power and a wide scanning region (observation field of view). The apparatus has a unit for adjusting the focus, a unit for adjusting astigmatism, a unit for controlling and detecting scanning positions and a controller operative to control the focus adjustment and astigmatism adjustment at a time in interlocked relation to the scanning positions, thereby assuring compatibility between the high resolving power and the observation view field of a wide area.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: June 26, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuko Sasaki, Yasuhiro Gunji, Zhaohui Cheng
  • Patent number: 8207512
    Abstract: The present invention provides a charged particle beam apparatus used to measure micro-dimensions (CD value) of a semiconductor apparatus or the like which captures images for measurement. For the present invention, a sample for calibration, on which a plurality of polyhedral structural objects with known angles on surfaces produced by the crystal anisotropic etching technology are arranged in a viewing field, is used. A beam landing angle at each position within a viewing field is calculated based on geometric deformation on an image of each polyhedral structural object. Beam control parameters for equalizing the beam landing angle at each position within the viewing field are pre-registered. The registered beam control parameters are applied according to the position of the pattern to be measured within the viewing field when performing dimensional measurement.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: June 26, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Chie Shishido, Mayuka Oosaki, Mitsugu Sato, Hiroki Kawada, Tatsuya Maeda
  • Patent number: 8207514
    Abstract: A charged particle beam drawing apparatus forms a map having meshes, forms representative figures, area of each representative figure in each mesh being equal to gross area of figures in each mesh, and calculates a proximity effect correction dose of the charged particle beam in each mesh on the basis of area of each representative figure in each mesh. If it is necessary to change the proximity effect correction dose of the charged particle beam for drawing at least one pattern corresponding to at least one figure, the charged particle beam drawing apparatus changes area of the at least one figure before the representative figures are formed by a representative figure forming portion, and changes the proximity effect correction dose of the charged particle beam for drawing the at least one pattern corresponding to the at least one figure, calculated by a proximity effect correction dose calculating portion.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: June 26, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Shigehiro Hara, Shuichi Tamamushi, Takashi Kamikubo, Hitoshi Higurashi, Shinji Sakamoto, Yusuke Sakai, Yoshihiro Okamoto, Akihito Anpo
  • Publication number: 20120156623
    Abstract: A semiconductor device manufacturing method which improves exposure characteristics. The method includes the step of making preparations for use of an exposure apparatus. The apparatus includes a light emitting unit with a first electrode and a second electrode for generating EUV light, a heating light source for heating the first electrode and the second electrode, and an exposure unit for projecting the EUV light on a substrate through a mask. The method also includes the following steps: heating the first electrode and the second electrode by the heating light source; after the heating step, applying a voltage between the first electrode and the second electrode and generating EUV light by plasma excitation of predetermined atoms; and leading the EUV light into the exposure unit and making an exposure on a photosensitive film formed over the substrate inside the exposure unit.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 21, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Seiichiro SHIRAI
  • Patent number: 8203126
    Abstract: Embodiments of the invention relate generally to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate and to methods of curing dielectric materials using UV radiation. A substrate processing tool according to one embodiment comprises a body defining a substrate processing region; a substrate support adapted to support a substrate within the substrate processing region; an ultraviolet radiation lamp spaced apart from the substrate support, the lamp configured to transmit ultraviolet radiation to a substrate positioned on the substrate support; and a motor operatively coupled to rotate at least one of the ultraviolet radiation lamp or substrate support at least 180 degrees relative to each other.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: June 19, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Thomas Nowak, Dale R. Du Bois, Sanjeev Baluja, Scott A. Hendrickson, Dustin W. Ho, Andrzei Kaszuba, Tom K. Cho
  • Patent number: 8198603
    Abstract: A sample preparing device has a sample stage that supports a sample and undergoes rotation about a first rotation axis to bring a preselected direction of the sample piece into coincidence with an intersection line between a first plane formed by a surface of the sample piece and a second plane. A manipulator holds sample piece of the sample and undergoes rotation about a second rotation axis independently of the sample stage to rotate the sample piece to a preselected position in the state in which the preselected direction of the sample piece coincides with the intersection line. The manipulator is disposed relative to the sample stage so that an angle between the second rotation axis and the surface of the sample is in the range of 0° to 45°.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: June 12, 2012
    Assignee: SII NanoTechnology Inc.
    Inventors: Haruo Takahashi, Ikuko Nakatani, Junichi Tashiro
  • Patent number: 8198614
    Abstract: The present invention relates to a terahertz wave generator and a method of generating high-power terahertz waves using the terahertz wave generator. The terahertz wave generator includes a hollow spherical body, and a focusing lens installed in a cutout portion of the spherical body or an opening formed in the cutout portion, wherein an inner surface of the spherical body is coated with metal. In the method, frequencies having different levels are incident through the focusing lens or the opening to generate a plurality of air plasmas, and the air plasmas cause continuous focusing the metal-coated inner surface and hollow space of the spherical body, thus generating high-power terahertz waves. According to the present invention, a plurality of air plasmas is continuously generated, thus solving the problem in which the light intensity of terahertz waves generated using one air plasma is low.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: June 12, 2012
    Assignee: Korea Institute of Science and Technology
    Inventors: Jae Hun Kim, Seok Lee, Deok Ha Woo, Sun Ho Kim, Young Tae Byun, Young Min Jhon
  • Patent number: 8198601
    Abstract: The disclosure relates to a method for producing a multi-beam deflector array device with a plurality of openings for use in a particle-beam exposure apparatus, in particular a projection lithography system, said method starting from a CMOS wafer and comprising the steps of generating at least one pair of parallel trenches on the first side of the wafer blank at the edges of an area where the circuitry layer below is non-functional, the trenches reaching into the layer of bulk material; passivating the sidewalls and bottom of the trenches; depositing a conducting filling material into the trenches, thus creating columns of filling material serving as electrodes; attaching metallic contact means to the top of the electrodes; structuring of an opening between the electrodes, said opening stretching across abovementioned area so that the columns are arranged opposite of each other on the sidewalls of the opening.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: June 12, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Heinrich Fragner
  • Patent number: 8198609
    Abstract: The present invention relates to an apparatus for forming a nano pattern capable of fabricating the uniform nano pattern at a low cost including a laser for generating a beam; a beam splitter for splitting the beam from the laser into two beams with the same intensity; variable mirrors for reflecting the two beams split by the beam splitter to a substrate; beam expansion units for expanding diameters of the beams by being positioned on paths of the two beams traveling toward the substrate; and a beam blocking unit, installed on an upper part of the substrate, transmitting only a specific region expanded through the beam expansion unit and blocking regions a remaining region, and a method for forming the nano pattern using the same.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: June 12, 2012
    Assignee: Samsung LED Co., Ltd
    Inventors: Moo Youn Park, Jin Ha Kim, Soo Ryong Hwang, Il Hyung Jung, Jong Ho Lee
  • Publication number: 20120138823
    Abstract: A method for generating patterned strained regions in a semiconductor device is provided. The method includes directing a light-emitting beam locally onto a surface portion of a semiconductor body; and manipulating a plurality of dislocations located proximate to the surface portion of the semiconductor body utilizing the light-emitting beam, the light-emitting beam being characterized as having a scan speed, so as to produce the patterned strained regions.
    Type: Application
    Filed: February 14, 2012
    Publication date: June 7, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chung Woh Lai, Xiao Hi Liu, Anita Madan, Klaus Schwarz, J. Campbell Scott
  • Patent number: 8193494
    Abstract: A first electron biprism is disposed in a condenser optical system and an observation region of a specimen is irradiated simultaneously with two electron beams of different angles. The two electron beams that have simultaneously transmitted the specimen are spatially separated and focused with a second electron biprism disposed in an imaging optical system and two electron microscopic images of different irradiation angles are obtained. The two picture images are obtained by a detecting unit. Based on the two picture images, a stereoscopic image or two images having different kinds of information of the specimen is/are produced and displayed on a display device.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: June 5, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Ken Harada, Akira Sugawara
  • Patent number: 8193492
    Abstract: The invention pertains to a method for exciting a resonant element of a microstructure, this element being mobile according to one degree of freedom. The method comprises a step for applying a charged particle beam to said microstructure, the beam being configured so as to drive the element in an alternating motion depending on its degree of freedom.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: June 5, 2012
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventor: Henri Blanc
  • Patent number: 8188449
    Abstract: A charged particle beam drawing apparatus for drawing patterns corresponding to figures in a drawing data, has a portion for dividing a drawing area on the workpiece into block frames, a portion for combining at least a first block frame and a second block frame into a virtual block frame, and a portion for transferring a data of the virtual block frame from an input data dividing module to a common memory of a first converter and a second converter. The first converter converts a data of a first figure included in the first block frame into a first drawing apparatus internal format data. The second converter converts a data of a second figure included in the second block frame into a second drawing apparatus internal format data. The first figure and the second figure are included in a cell extending over the first block frame and the second block frame.
    Type: Grant
    Filed: June 16, 2010
    Date of Patent: May 29, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Hayato Shibata, Hitoshi Higurashi, Akihito Anpo, Jun Yashima, Shigehiro Hara, Susumu Oogi
  • Patent number: 8188447
    Abstract: A method includes dividing a semiconductor wafer into a plurality of dies areas, generating a map of the semiconductor wafer, scanning each of the plurality of die areas of the semiconductor wafer with a laser, and adjusting a parameter of the laser during the scanning based on a value of the die areas identified by the map of the semiconductor wafer. The map characterizing the die areas based on a first measurement of each individual die area.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: May 29, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Ru Yang, Chyi Shyuan Chern, Soon Kang Huang
  • Patent number: 8183546
    Abstract: Ions are generated and directed toward a workpiece. A laser source generates a laser that is projected above the workpiece in a line. As the laser is generated, a fraction of the ions are blocked by the laser. This may enable selective implantation or modification of the workpiece. In one particular embodiment, the lasers are generated while ions are directed toward the workpiece and then stopped. Ions are still directed toward the workpiece after the lasers are stopped.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: May 22, 2012
    Assignee: VARIAN Semiconductor Equipment Associates, Inc.
    Inventor: Deepak A. Ramappa
  • Patent number: 8183545
    Abstract: There is provided a charged particle beam writing apparatus in which data processing is optimized by automatically dividing process regions on which parallel distributed processing is performed.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: May 22, 2012
    Assignee: NuFlare Technology, Inc.
    Inventor: Jun Yashima
  • Patent number: 8183543
    Abstract: A multi-beam source for generating a plurality of beamlets of energetic electrically charged particles. The multi-beam source includes an illumination system generating an illuminating beam of charged particles and a beam-forming system being arranged after the illumination system as seen in the direction of the beam, adapted to form a plurality of telecentric or homocentric beamlets out of the illuminating beam. The beam forming system includes a beam-splitter and an electrical zone device, the electrical zone having a composite electrode composed of a plurality of substantially planar partial electrodes, adapted to be applied different electrostatic potentials and thus influencing the beamlets.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: May 22, 2012
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Patent number: 8178855
    Abstract: For use with an irradiation system including a radiation source operable to produce a radiation beam towards a target, a beam modulator including a flexible, deformable container at least partially filled with a radiation attenuating fluid, a non-deformable first contacting surface in contact with a first portion of the container, the first contacting surface pivotable about a first axis, and a positioner operable to rotate the first contacting surface about the first axis, wherein as the first contacting surface rotates about the first axis, the first contacting surface deforms the container.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: May 15, 2012
    Inventor: Moshe Ein-Gal
  • Patent number: 8178837
    Abstract: A navigation system for easily determining defective positions is provided. In the case of CAD navigation to defective positions, logical information for indicating defective positions is created in a CAD format, instead of CAD data of physical information indicating circuit design. Specifically, by attaching marks such as rectangles, characters, or lines, to an electron microscope image with software, quick navigation is performed with required minimum information. By using created CAD data, re-navigation with the same equipment and CAD navigation to heterogeneous equipment are performed.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: May 15, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tohru Ando, Tsutomu Saito, Yasuhiko Nara, Mikio Takagi, Koichi Takauchi
  • Patent number: 8178856
    Abstract: A charged particle beam writing apparatus includes a charge amount distribution calculation unit configured to calculate a charge amount distribution which is charged by irradiation of a charged particle beam onto a writing region of a target workpiece, by using a charge decay amount and a charge decay time constant both of which depend on a pattern area density, a position displacement amount distribution calculation unit configured to calculate a position displacement amount of each writing position due to charge amounts of the charge amount distribution by performing convolution of each charge amount of the charge amount distribution with a response function, and a writing unit configured to write a pattern on the each writing position where the position displacement amount has been corrected, using a charged particle beam.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: May 15, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Seiji Wake
  • Patent number: 8178857
    Abstract: A method for flattening a sample surface by irradiating the sample surface with a gas cluster ion beam, generates clusters of source gas in a cluster generating chamber, ionizes the generated clusters in an ionization chamber, accelerates the ionized cluster beam in an electric field of an accelerating electrode, selects a cluster size using a magnetic field of a sorting mechanism, and irradiates the surface of a sample. An irradiation angle between the sample surface and the gas cluster ion beam is less than 30° and an average cluster size of the gas cluster ion beam is 50 or above.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: May 15, 2012
    Assignee: Japan Aviation Electronics Industry, Limited
    Inventors: Akinobu Sato, Akiko Suzuki, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki
  • Patent number: 8173962
    Abstract: An evaluation method and apparatus is provided for evaluating a displacement between patterns of a pattern image by using design data representative of a plurality of patterns superimposed ideally. A first distance is measured for an upper layer pattern between a line segment of the design data and an edge of the charged particle radiation image, a second distance is measured for a lower layer pattern between a line segment of the design data and an edge of the charged particle radiation image; and an superimposition displacement is detected between the upper layer pattern and lower layer pattern in accordance with the first distance and second distance.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: May 8, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumichi Sutani, Ryoichi Matsuoka, Hidetoshi Morokuma, Akiyuki Sugiyama, Hiroyuki Shindo
  • Patent number: 8173978
    Abstract: Provided is a method for controlling electron beams in a multi-microcolumn, in which unit microcolumns having an electron emitter, a lens, and a deflector are arranged in an n×m matrix. A voltage is uniformly or differentially applied to each electron emitter or extractor. The same control voltage or different voltages are applied to a region at coordinates in a control division area of each extractor to deflect the electron beams. Lens layers not corresponding to the extractors are collectively or individually controlled so as to efficiently control the electron beams of the unit microcolumn. Further, a multi-microcolumn using the method is provided.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: May 8, 2012
    Assignee: Cebt Co., Ltd
    Inventors: Ho Seob Kim, Byeng Jin Kim
  • Patent number: 8173093
    Abstract: Provided is an iron silicide sputtering target in which the oxygen as the gas component in the target is 1000 ppm or less, and a manufacturing method of such iron silicide sputtering target including the steps of melting/casting high purity iron and silicon under high vacuum to prepare an alloy ingot, subjecting the ingot to gas atomization with inert gas to prepare fine powder, and thereafter sintering the fine powder. With this iron silicide sputtering target, the amount of impurities will be reduced, the thickness of the ?FeSi2 film during deposition can be made thick, the generation of particles will be reduced, a uniform and homogenous film composition can be yielded, and the sputtering characteristics will be favorable. The foregoing manufacturing method is able to stably produce this target.
    Type: Grant
    Filed: September 1, 2003
    Date of Patent: May 8, 2012
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Patent number: 8173977
    Abstract: It is an object of the present invention to provide a laser irradiation apparatus and a laser irradiation method that increase energy intensity distribution in a region having low energy intensity distribution in an end region in a major-axis direction of laser light, in performing laser irradiation. In irradiating an irradiation surface with laser light, laser light oscillated from a laser oscillator is converged in one direction through an optical element. The laser light which passes through the optical element and which is converged in one direction passes through a means which shields an end region in a major-axis direction of the laser light. Accordingly, a region where energy intensity distribution is precipitously high in the end region in the major-axis direction of the laser light can be formed in the irradiation surface.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: May 8, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8173552
    Abstract: Methods of forming a microelectronic structure are described. Embodiments of those methods include forming a liquid on a region of a die, and then forming an identification mark through the liquid on the die.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: May 8, 2012
    Assignee: Intel Corporation
    Inventors: George P. Vakanas, Sergei L. Voronov, Luey Chon Ng, George E. Malouf
  • Publication number: 20120107984
    Abstract: A laser crystallization system and a method of manufacturing a display apparatus using the laser crystallization system are disclosed. In one embodiment, the system includes i) a mother substrate in which first, second, and third display regions and ii) a stage for supporting the mother substrate and moving in first and second directions perpendicular to each other. The embodiment also includes i) a first laser irradiation unit for irradiating a first laser beam having a width greater than or identical to a width of a side of one of the first, second, and third display regions in the first direction and ii) a second laser irradiation unit spaced apart from the first laser irradiation unit and irradiating a second laser beam having a width greater than or identical to the width of the one side in the first direction.
    Type: Application
    Filed: October 12, 2011
    Publication date: May 3, 2012
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventors: Jae-Hwan Oh, Jae-Beom Choi, Won-Kyu Lee, Young-Jin Chang, Seong-Hyun Jin
  • Patent number: 8168959
    Abstract: A position measurement device 29 measures the position of a position measurement mark 26 formed on the lower surface of a reticle 1, thereby measuring the position of the reticle 1. A position measurement device 30 measures the position of the position measurement mark 27 formed on the lower surface of a lower lid 2b, thereby measuring the position of the lower lid 2b. The relative displacement of the reticle 1 and lower lid 2b is known when the position of the reticle 1 and the position of the lower lid 2b are known. Therefore, when the lower lid 2b having the reticle 1 loaded thereon is carried with a carrying device and set in an exposure device, the stop position of the lower lid 2b is determined by taking this displacement into account. As a result, the reticle 1 can be correctly set in the exposure device.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: May 1, 2012
    Assignee: Nikon Corporation
    Inventors: Motoko Suzuki, Yukiharu Okubo
  • Patent number: 8168960
    Abstract: A probe (1) for electron microscopy is cut from a solid material. A sample surface (3) is configured on the same, which is treated with an ion beam (J) at a predetermined angle of incidence such that the material is ablated from the sample surface (3) by means of etching until the desired observation surface (20) is exposed on the sample (1) in the region of the incidence zone (4) of the ion beam (J), which enables the viewing (12) of the desired region of the sample (1) using an electron microscope. For this purpose, at least two stationary ion beams (J1, J2) are guided onto the sample surface (3) at a predetermined angle (?) in alignment with each other such that the ion beams (J1, J2) at least come in contact with each other on the sample surface (3), or cross each other, and form an incidence zone (4) in that location, and that both the sample (1) and the ion beams (J1, J2) are not moved, and thus are operated in a stationary manner.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: May 1, 2012
    Assignee: LEICA MIKROSYSTEME GmbH
    Inventors: Wolfgang Grünewald, Alex Vogt, Alexander Gabathuler
  • Patent number: 8168962
    Abstract: Initially, an ion beam is formed as an elongated shape incident on a wafer, where the shape has a length along a first axis longer than a diameter of the wafer, and a width along a second axis shorter than the diameter of the wafer. Then, a center of the wafer is moved along a scan path intersecting the ion beam at a movement velocity, and the wafer is rotated around at a rotation velocity simultaneously. During the simultaneous movement and rotation, the wafer is totally overlapped with the ion beam along the first axis when the wafer intersects with the ion beam, and the rotation velocity is at most a few times of the movement velocity. Both the movement velocity and the rotation velocity can be a constant or have a velocity profile relative to a position of the ion beam across the wafer.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: May 1, 2012
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Cheng-Hui Shen, Don Berrian
  • Patent number: 8168961
    Abstract: An improved method for substrate micromachining. Preferred embodiments of the present invention provide improved methods for the utilization of charged particle beam masking and laser ablation. A combination of the advantages of charged particle beam mask fabrication and ultra short pulse laser ablation are used to significantly reduce substrate processing time and improve lateral resolution and aspect ratio of features machined by laser ablation to preferably smaller than the diffraction limit of the machining laser.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: May 1, 2012
    Assignee: FEI Company
    Inventors: Marcus Straw, Milos Toth, Steven Randolph, Michael Lysaght, Mark Utlaut
  • Publication number: 20120099101
    Abstract: A gantry apparatus includes a structure to couple and support an optical system has enhanced rigidity, which minimizes deformation of the structure even if a plurality of optical systems is coupled to the structure. The gantry apparatus includes an optical system, a drive device to drive the optical system, and a structure to couple and support the drive device. The structure includes a plurality of first plates arranged parallel to one another, and a plurality of second plates intersecting the plurality of first plates to define receptive corridors, each of which receives the drive device.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 26, 2012
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sang Joon HONG, Oui Serg KIM, Sang Hyun PARK, Roger Francisus Mattheus Maria HAMELINCK
  • Patent number: 8164069
    Abstract: A light-emitting body of rapid speed of response and high light emission intensity, and an electron beam detector, scanning electron microscope and mass spectroscope using this are provided. In the light-emitting body 10 according to the present invention, when fluorescence is emitted by a nitride semiconductor layer 14 formed on one face 12a of a substrate 12 in response to incidence of electrons, at least some of this fluorescence is transmitted through this substrate 12, whereby that fluorescence is emitted from the other face 12b of the substrate. The response speed of this fluorescence is not more than ?sec order. Also, the intensity of emission of this fluorescence is almost identical to that of a conventional P47 phosphor. Specifically, with this light-emitting body 10, a response speed and light emission intensity are obtained that are fully satisfactory for application to a scanning electron microscope or mass spectroscope.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: April 24, 2012
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Shoichi Uchiyama, Yasufumi Takagi, Minoru Niigaki, Minoru Kondo, Itaru Mizuno
  • Patent number: 8158092
    Abstract: Provided is iron silicide powder in which the content of oxygen as the gas component is 1500 ppm or less, and a method of manufacturing such iron silicide powder including the steps of reducing iron oxide with hydrogen to prepare iron powder, heating the iron powder and Si powder in a non-oxidizing atmosphere to prepare synthetic powder containing FeSi as its primary component, and adding and mixing Si powder once again thereto and heating this in a non-oxidizing atmosphere to prepare iron silicide powder containing FeSi2 as its primary component. The content of oxygen as the gas component contained in the iron silicide powder will decrease, and the iron silicide powder can be easily pulverized as a result thereof. Thus, the mixture of impurities when the pulverization is unsatisfactory will be reduced, the specific surface area of the iron silicide powder will increase, and the density can be enhanced upon sintering the iron silicide powder.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: April 17, 2012
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Patent number: 8153338
    Abstract: An apparatus for repairing a photo mask, including a repairing atomic force microscope configured to repair a defective portion of the photo mask in a photo mask repair process, an electron microscope configured to navigate the repairing atomic electron microscope to the defective portion of the photo mask and to observe the photo mask repair process, and an imaging atomic microscope configured to image in-situ a shape of a repaired photo mask.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: April 10, 2012
    Assignees: Nanofocus Inc., Korea Research Institute of Standards and Science
    Inventors: Byong Chon Park, Sang Jung Ahn, Jin Ho Choi, Joon Lyou, Jae Wan Hong, Won Young Song, Ki Young Jung