Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Publication number: 20150147894
    Abstract: Heating within a plane of a substrate may be uniform while a thermal budget is decreased. A substrate processing apparatus includes a process chamber configured to accommodate a substrate; a substrate mounting unit installed in the process chamber and configured to have the substrate placed thereon; an electromagnetic wave supply unit configured to supply an electromagnetic wave to the substrate placed on the substrate mounting unit; and a choke groove formed on a side surface of the substrate mounting unit.
    Type: Application
    Filed: February 5, 2015
    Publication date: May 28, 2015
    Inventors: Katsuyoshi HAMANO, Atsushi UMEKAWA, Takuya JODA, Akinori ISHII, Masahisa OKUNO
  • Patent number: 9040935
    Abstract: The present invention provides a blanking apparatus comprising a plurality of blankers configured to respectively blank a plurality of beams with respect to a target position on an object, and a driving device configured to drive the plurality of blankers, wherein the driving device includes a change device configured to change relation between a combination of beams of the plurality of beams, and a target dose.
    Type: Grant
    Filed: June 24, 2014
    Date of Patent: May 26, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Tomoyuki Morita, Masato Muraki
  • Patent number: 9040942
    Abstract: One embodiment relates to an apparatus for electron beam lithography which includes a linear array of reflection electron beam lithography columns and a rotary stage. Each column is separately controllable to write a portion of a lithographic pattern onto a substrate. The rotary stage is configured to hold multiple substrates and to be rotated under the linear array of reflection electron beam lithography columns. Another embodiment relates to a method of electron beam lithography which includes simultaneously rotating and linearly translating a stage holding a plurality of wafers, and writing a lithography pattern using a linear array of reflection electron beam lithography columns over the stage. Each said column traverses a spiral path over the stage as the stage is rotated and linearly translated. Other embodiments, aspects and feature are also disclosed.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: May 26, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Keith Standiford, Alan D. Brodie
  • Patent number: 9040937
    Abstract: In a pattern inspection of a semiconductor circuit, to specify a cause of a process defect, not only a distribution on and across wafer of the number of defects but also more detailed, that is, the fact that how many defects occurred where on the semiconductor pattern is needed to be specified in some cases. Accordingly, the present invention aims to provide an apparatus capable of easily specifying a cause of a process defect based upon a positional relationship of a distribution of defect occurrence frequency and a pattern.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: May 26, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kohei Yamaguchi, Takehiro Hirai, Ryo Nakagaki
  • Patent number: 9035269
    Abstract: A control module for an ion implanter having a power supply, the power supply comprising: an electricity generator HT having its positive pole connected to ground; a first switch SW1 having its first pole connected to the negative pole of the generator HT and having its second pole connected to the outlet terminal S of the power supply; and a second switch SW2 having its first pole connected to the outlet terminal S and having its second pole connected to a neutralization terminal N. The control module also comprises a current measurement circuit AMP for measuring the current that flows between the second pole of the second switch SW2 and the neutralization terminal N.
    Type: Grant
    Filed: October 3, 2012
    Date of Patent: May 19, 2015
    Assignee: ION BEAM SERVICES
    Inventors: Frank Torregrosa, Laurent Roux
  • Patent number: 9029809
    Abstract: A movable microchamber system with a gas curtain is disclosed. The microchamber system has a top member with a light-access feature and a stage assembly that supports a substrate to be processed. The stage assembly is disposed relative to the top member to define a microchamber and a peripheral microchamber gap. An inert gas is flowed into the peripheral microchamber gap to form the gas curtain just outside of the microchamber. The gas curtain substantially prevents reactive gas in the ambient environment from entering the microchamber when the stage assembly moves relative to the top member.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: May 12, 2015
    Assignee: Ultratech, Inc.
    Inventors: Digby Pun, Ali Shajii, Andrew B. Cowe, Raymond Ellis, James T. McWhirter
  • Patent number: 9029810
    Abstract: Systems and methods for providing improved scanner corrections are disclosed. Scanner corrections provided in accordance with the present disclosure may be referred to as wafer geometry aware scanner corrections. More specifically, wafer geometry and/or wafer shape signature information are utilized to improve scanner corrections. By removing the wafer geometry as one of the error sources that may affect the overlay accuracy, better scanner corrections can be obtained because one less contributing factor needs to be modeled.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: May 12, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Craig MacNaughton, Sathish Veeraraghavan, Pradeep Vukkadala, Jaydeep Sinha, Amir Azordegan
  • Patent number: 9024281
    Abstract: An apparatus for implanting ions of a selected species into a semiconductor wafer includes an ion source, an accelerator, and an magnetic structure. The ion source is configured to generate an ion beam. The accelerator is configured to accelerate the ion beam, where the accelerated ion beam includes at least a first portion having a first energy and a second portion having a second energy. The magnetic structure is configured to deflect the first portion of the accelerated ion beam in a first path trajectory and the second portion of the accelerated ion beam in a second path trajectory. The first and second path trajectories have a same incident angle relative to a surface region of the semiconductor wafer.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: May 5, 2015
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Hanming Wu, Chia Hao Lee, John Chen
  • Patent number: 9025130
    Abstract: A method includes directing a beam of radiation along an optical axis toward a workpiece support, measuring a spectrum of the beam at a first time to obtain a first profile, measuring the spectrum of the beam at a second time to obtain a second profile, determining a spectral difference between the two profiles, and adjusting a position of the workpiece support along the optical axis based on the difference. A different aspect involves an apparatus having a workpiece support, beam directing structure that directs a beam of radiation along an optical axis toward the workpiece support, spectrum measuring structure that measures a spectrum of the beam at first and second times to obtain respective first and second profiles, processing structure that determines a difference between the two profiles, and support adjusting structure that adjusts a position of the workpiece support along the optical axis based on the difference.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chang-Tsun Hsieh, Fu-Jye Liang, Tzung-Chi Fu, Li-Jui Chen, Chih-Ming Ke
  • Publication number: 20150108373
    Abstract: A laser driven light source comprises laser and focusing optics. These produce a beam of radiation focused on a plasma forming zone within a container containing a gas (e.g., Xe). Collection optics collects photons emitted by a plasma maintained by the laser radiation to form a beam of output radiation. Plasma has an elongate form (L>d) and collecting optics is configured to collect photons emerging in the longitudinal direction from the plasma. The brightness of the plasma is increased compared with sources which collect radiation emerging transversely from the plasma. A metrology apparatus using the light source can achieve greater accuracy and/or throughput as a result of the increased brightness. Back reflectors may be provided. Microwave radiation may be used instead of laser radiation to form the plasma.
    Type: Application
    Filed: November 20, 2014
    Publication date: April 23, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Henricus Petrus Maria PELLEMANS, Pavel Stanislavovich ANTSIFEROV, Vladimir Mihailovitch KRIVTSUN, Johannes Matheus Marie DE WIT, Ralph Jozef Johannes Gerardus Anna Maria SMEETS, Gerbrand VAN DER ZOUW
  • Patent number: 9012873
    Abstract: In a particle therapy treatment planning system for creating treatment plan data, the movement of a target (patient's affected area) is extracted from plural tomography images of the target, and the direction of scanning is determined by projecting the extracted movement on a scanning plane scanned by scanning magnets. Irradiation positions are arranged on straight lines parallel with the scanning direction making it possible to calculate a scanning path for causing scanning to be made mainly along the direction of movement of the target. The treatment planning system can thereby realize dose distribution with improved uniformity.
    Type: Grant
    Filed: June 30, 2014
    Date of Patent: April 21, 2015
    Assignee: Hitachi, Ltd.
    Inventors: Rintaro Fujimoto, Yoshihiko Nagamine, Masumi Umezawa, Toru Umekawa, Yusuke Fujii, Hiroshi Akiyama
  • Patent number: 9012867
    Abstract: Ion sources, systems and methods are disclosed.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: April 21, 2015
    Assignee: Carl Zeiss Microscopy, LLC
    Inventors: Billy W. Ward, John A. Notte, IV, Louis S. Farkas, Randall G. Percival, Raymond Hill, Klaus Edinger, Lars Markwort, Dirk Aderhold, Ulrich Mantz
  • Publication number: 20150102236
    Abstract: An electron beam exposure apparatus includes an electron beam source, a stage, and an error detection device. The electron beam source radiates a first electron beam corresponding to first input data and a second electron beam corresponding to second input data. The stage includes a mask on which the first electron beam is radiated. The stage may be configured to move the mask. The error detection device detects an error of the second electron beam and outputs error detection information.
    Type: Application
    Filed: July 30, 2014
    Publication date: April 16, 2015
    Inventors: Sang Yong YU, Sang Hee LEE, Seong Yong MOON
  • Patent number: 9006691
    Abstract: A charged particle beam writing apparatus includes a unit to divide a chip region into first data processing blocks, a unit to, in each block, extract a cell whose reference position is located in the block concerned from cells each including at least one figure pattern, a unit to, for each block, generate a first frame that surrounds the block concerned and the cell extracted, a unit to, for each first frame, divide the inside of the first frame concerned into mesh regions and calculate an area density of a figure pattern in each mesh, a unit to combine area densities of mesh regions which are overlapped with each other and between different first frames, a unit to calculate a dose of beam by using the area density, and a unit to write a pattern on a target workpiece by irradiating the beam of the dose calculated.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: April 14, 2015
    Assignee: NuFlare Technolgy, Inc.
    Inventors: Jun Yashima, Akihito Anpo
  • Patent number: 9006692
    Abstract: A system to control an ion beam in an ion implanter includes a detector to perform a plurality of beam current measurements of the ion beam along a first direction perpendicular to a direction of propagation of the ion beam. The system also includes an analysis component to determine a beam current profile based upon the plurality of beam current measurements, the beam current profile comprising a variation of beam current along the first direction; and an adjustment component to adjust a height of the ion beam along the first direction when the beam current profile indicates the beam height is below a threshold.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: April 14, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Stanislav S. Todorov, George M. Gammel, Richard Allen Sprenkle, Norman E. Hussey, Frank Sinclair, Shengwu Chang, Joseph C. Olson, David Roger Timberlake, Kurt T. Decker-Lucke
  • Publication number: 20150097126
    Abstract: One embodiment relates to a device that senses alignment and height of a work piece. The device may include both an alignment sensor and a height sensor. The alignment sensor generates a first illumination beam that illuminates an alignment mark on the work piece so as to create a first reflected beam, and determines the alignment of the work piece using the first reflected beam. The height sensor generates a second illumination beam that is directed to a surface of the work piece at an oblique angle so as to form a second illumination spot and images the second illumination spot to determine the height of the work piece. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: September 9, 2014
    Publication date: April 9, 2015
    Inventors: Mark A. McCORD, Joseph DiREGOLO
  • Patent number: 9001387
    Abstract: A drawing apparatus performs drawing on a first partial region and a second partial region. The first and second partial regions having an overlap region in which the first and second partial regions overlap each other. The apparatus includes a transformation device configured to transform first pattern data for the first partial region into first quantized pattern data in accordance with a first transformation rule, and to transform second pattern data for the second partial region into second quantized pattern data in accordance with a second transformation rule different from the first transformation rule, and a controller configured to control the drawing on the first partial region based on the first quantized pattern data, and to control the drawing on the second partial region based on the second quantized pattern data.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: April 7, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masato Muraki, Satoru Oishi, Hiromi Kinebuchi
  • Patent number: 8993985
    Abstract: The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, including an aperture array configured to include a plurality of apertures for shaping the respective charged particle beams, a deflection unit configured to include a plurality of first deflectors which are arranged on a side, with respect to the aperture array, of a charged particle source for radiating a charged particle beam and which deflect the respective charged particle beams, and to individually change irradiated positions of the respective charged particle beams on the aperture array by driving the respective first deflectors, and a controller configured to control deflection of the charged particle beams by the first deflectors to reduce a dispersion of intensities of the respective charged particle beams on the substrate.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: March 31, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kentaro Sano, Go Tsuchiya
  • Patent number: 8993982
    Abstract: A switchable ion gun switchable between a cluster mode setting for producing an ion beam substantially comprising ionised gas clusters and an atomic mode setting for producing an ion beam substantially comprising ionised gas atoms, comprising: a source chamber having a first gas inlet; a gas expansion nozzle for producing gas clusters in the presence of gas atoms by expansion of a gas from the source chamber through the nozzle; an ionisation chamber for ionising the gas clusters and gas atoms; wherein the ionisation chamber has a second gas inlet for admitting gas directly into the ionisation chamber to form ionised gas atoms; and a variable mass selector for mass selecting the ionised gas clusters and ionised gas atoms to produce an ion beam variable between substantially comprising ionised gas clusters and substantially comprising ionised gas atoms.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: March 31, 2015
    Assignee: VG Systems Limited
    Inventor: Bryan Barnard
  • Patent number: 8987691
    Abstract: An ion implanter and an ion implant method are disclosed. Essentially, the wafer is moved along one direction and an aperture mechanism having an aperture is moved along another direction, so that the projected area of an ion beam filtered by the aperture is two-dimensionally scanned over the wafer. Thus, the required hardware and/or operation to move the wafer may be simplified. Further, when a ribbon ion beam is provided, the shape/size of the aperture may be similar to the size/shape of a traditional spot beam, so that a traditional two-dimensional scan may be achieved. Optionally, the ion beam path may be fixed without scanning the ion beam when the ion beam is to be implanted into the wafer, also the area of the aperture may be adjustable during a period of moving the aperture across the ion beam.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: March 24, 2015
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Zhimin Wan, John D. Pollock, Don Berrian
  • Patent number: 8987683
    Abstract: A charged particle beam drawing apparatus according to one embodiment of the present invention comprises a load lock chamber provided for introducing a target object from the outside and capable of switching an atmosphere state and a vacuum state, a transfer chamber arranged so as to be able to communicate with the load lock chamber and transferring the target object, a soaking chamber arranged so as to be able to communicate with the transfer chamber and having a temperature adjustment container for housing the target object therein and controlling a temperature of the target object with radiation and a temperature adjustment part for controlling a temperature of the temperature adjustment container, and a drawing chamber arranged so as to be able to communicate with the transfer chamber and drawing on the target object at a constant temperature.
    Type: Grant
    Filed: April 22, 2014
    Date of Patent: March 24, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Michihiro Kawaguchi, Kiminobu Akeno, Yoshinori Kagawa, Yu Asami, Keisuke Yamaguchi
  • Patent number: 8981322
    Abstract: Disclosed is a multi-nozzle and skimmer assembly for introducing a process gas mixture, or multiple process gases mixtures, in a gas cluster ion beam (GCIB) system, and associated methods of operation to grow, modify, deposit, or dope a layer upon a substrate. The multiple nozzle and skimmer assembly includes at least two nozzles arranged in mutual close proximity to at least partially coalesce the gas cluster beams emitted therefrom into a single gas cluster beam and/or angled to converge each beam toward a single intersecting point to form a set of intersecting gas cluster beams, and to direct the single and/or intersecting gas cluster beam into a gas skimmer.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: March 17, 2015
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Matthew C. Gwinn, Robert K. Becker, Avrum Freytsis, Michael Graf
  • Patent number: 8981321
    Abstract: A charged-particle beam exposure apparatus which includes a deflector that deflects a charged-particle beam, and a stage mechanism that drives a substrate, and draws a pattern on the substrate while scanning the charged-particle beam in a main-scanning direction by the deflector and scanning the substrate in a sub-scanning direction by the stage mechanism. The apparatus includes a blanker unit configured to control irradiation and unirradiation of the substrate with the charged-particle beam, and a controller configured to control the deflector to deflect the charged-particle beam in the sub-scanning direction by an amount of driving of the substrate in the sub-scanning direction by the stage mechanism during a period of time from stop of drawing on the substrate until restart thereof when the drawing on the substrate is stopped and then restarted while the substrate is driven in the sub-scanning direction by the stage mechanism.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: March 17, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hirohito Ito
  • Patent number: 8975603
    Abstract: Systems and methods for plasma doping microfeature workpieces are disclosed herein. In one embodiment, a method of implanting boron ions into a region of a workpiece includes generating a plasma in a chamber, selectively applying a pulsed electrical potential to the workpiece with a duty cycle of between approximately 20 percent and approximately 50 percent, and implanting an ion specie into the region of the workpiece.
    Type: Grant
    Filed: February 3, 2014
    Date of Patent: March 10, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8975601
    Abstract: A method of manufacturing a wafer with an integrated circuit (IC) layout includes receiving a first plurality of pixels, wherein each of the pixels corresponds to a portion of the IC layout and includes data members. The method further includes transforming the first plurality of pixels into a second plurality of control signals, wherein at least some of the control signals include both a data member of one of the pixels and another data member of another one of the pixels. The method further includes transferring the control signals to a third plurality of mirrors, wherein the mirrors conditionally reflect an energy beam incident thereupon when coupled with the control signals.
    Type: Grant
    Filed: November 25, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Chi Chen
  • Publication number: 20150060694
    Abstract: A charged particle beam device that appropriately maintains a throughput of the device for each of specimens different in a gas emission volume from each other is provided. A scanning electron microscope includes an electron source, a specimen stage, a specimen chamber, and an exchange chamber, and further includes a vacuum gauge that measures an internal pressure of the exchange chamber, a time counting unit that counts time taken when a measurement result by the vacuum gauge has reached a predetermined degree of vacuum, and an integral control unit that performs comparative calculation and determination based on a measurement result by the time counting unit and integral control based on a process flow.
    Type: Application
    Filed: February 20, 2013
    Publication date: March 5, 2015
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Kazuhiro Gunji, Yasushi Ebizuka, Yuta Asaga
  • Patent number: 8969835
    Abstract: A system for supplying a process gas to a processing location of a particle beam system is disclosed. The system for supplying the processing gas includes a gas reservoir, a gas conduit, a pipe located close to the processing location, a valve between the gas conduit and the pipe, and a controller configured to open and to close the valve to switch the system from a first mode of operation in which process gas is not supplied to the processing location to a second mode of operation in which process gas is supplied to the processing location. The controller can alternately open and close the valve in cycles. Each cycle can include a first duration in which the valve is open and a second duration in which the valve is closed. The ratio of the first duration to the second duration can be changed.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: March 3, 2015
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Ulrike Zeile, Matthias Knappich
  • Patent number: 8969837
    Abstract: A multi charged particle beam writing method includes dividing a maximum irradiation time per a shot into a digit number of first irradiation time periods, each of which is calculated by multiplying a corresponding second gray scale value by the quantization unit, where second gray scale values are gray scale values defined in decimal numbers converted from each digit value of data of binary numbers; dividing second irradiation time periods, which are a part of the first irradiation time periods into third irradiation time periods; dividing irradiation of each beam into the first irradiation steps of the third irradiation time periods and second irradiation steps of the remaining undivided first irradiation time periods; and irradiating a target object, in order, with the multi beams such that the groups are respectively composed of combination of at least two irradiation steps of first irradiation steps and second irradiation steps and the groups continue in order.
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: March 3, 2015
    Assignee: NuFlare Technology, Inc.
    Inventor: Hiroshi Matsumoto
  • Patent number: 8969836
    Abstract: A system using an energy beam to expose patterns on a wafer includes first mirror elements, a multiplexer element, and second mirror elements. The first and second mirror elements are dynamically controlled to reflect the energy beam to the wafer. The first mirror elements are configured in a first chain having a first data input and a first data output. The multiplexer element includes a second data input, a third data input, a select input, and a second data output. The third data input is coupled to the first data output. The second mirror elements are configured in a second chain having a fourth data input.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: March 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Chi Chen
  • Patent number: 8970509
    Abstract: A touch panel includes a plurality of first sensors disposed on a substrate, the plurality of first sensors including a plurality of first electrodes spaced from one another in a first direction and a first connection pattern to connect two adjacent first electrodes, the plurality of first sensors arranged in a second direction crossing the first direction; a plurality of second sensors disposed on the substrate, the plurality of second sensors including a plurality of second electrodes spaced from one another in the second direction and a second connection pattern composed of a metal to connect two adjacent second electrodes, the plurality of second sensors arranged in the first direction; and at least one metal pattern connected to the first connection pattern, wherein the at least one metal pattern overlaps the first connection pattern.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: March 3, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Gang-Seob Jung, Sang-Soo Hwang, Young-Jin Yi, Jung-Hyun Lee
  • Patent number: 8963337
    Abstract: A semiconductor wafer assembly formed by bonding a support wafer to a thin wafer using a double-sided bonding release tape. The support wafer provides support for the thin target wafer such that existing handling tools can accommodate transporting and processing the assembly without compromising the profile of the thin target wafer.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: February 24, 2015
    Assignee: Varian Semiconductor Equipment Associates
    Inventor: Arthur Paul Riaf
  • Patent number: 8963110
    Abstract: The generation of EUV light includes rotating a cylinder at least partially coated with a plasma-forming target material, directing pulsed illumination to a first set of helically-arranged spots traversing a material-coated portion of the rotating cylinder in a first direction and directing pulsed illumination to a second set of helically-arranged spots traversing the material-coated portion of the rotating cylinder in a second direction, the pulsed illumination being suitable for exciting the plasma-forming target material.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: February 24, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Layton Hale, Francis Chilese, Qiang Q. Zhang
  • Patent number: 8952344
    Abstract: A method of treating a substrate includes directing first ions over a first range of angles to one or more photoresist features disposed on the substrate, the first ions effective to generate an altered layer in the one or more photoresist features, the altered surface layer encapsulating an inner portion of the one or more photoresist features, and directing second ions different from the first ions over a second range of angles to the one or more photoresist features, the second ions effective to generate gaseous species in the inner regions of the one or more photoresist features.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: February 10, 2015
    Assignee: Varian Semiconductor Equipment Associates
    Inventors: Frank Sinclair, Ludovic Godet, Patrick M. Martin, Armah Kpissay
  • Publication number: 20150034835
    Abstract: An embodiment is to provide a technique that continuously applies a certain amount of an electron beam to a sample by selecting a beam applied to the sample from an electron beam emitted from an electron source in a scanning electron microscope. A charged particle apparatus is configured, including: a mechanism that detects the distribution of electric current strength with respect to the emitting direction of an electron beam emitted from an electron source; a functionality that predicts a fluctuation of an electric current applied to a sample by predicting the distribution of the electric current based on the detected result; a functionality that determines a position at which a beam applied to the sample is acquired based on the predicted result; and a mechanism that controls a position at which a probe beam is acquired based on the determined result.
    Type: Application
    Filed: July 30, 2014
    Publication date: February 5, 2015
    Inventors: Soichiro Matsunaga, Souichi Katagiri, Hajime Kawano
  • Patent number: 8946631
    Abstract: A substrate is irradiated by primary electrons and secondary electrons generated from the substrate are detected by a detector. A reference die is placed on the stage to obtain a pattern matching template image including feature coordinates of the reference die. A pattern matching is performed with an arbitrary die in a row or column including the reference die using the template image to obtain feature coordinates of the arbitrary die. An angle of misalignment is calculated between the direction of the row or column including the reference die and one of the directions of movement of the substrate on the basis of the feature coordinates of the arbitrary die and those of the reference die. The stage is rotated to correct the angle of misalignment to conform the direction of the row or column including the reference die with the one of the directions of movement of the substrate.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: February 3, 2015
    Assignee: Ebara Corporation
    Inventors: Nobuharu Noji, Tohru Satake, Hirosi Sobukawa, Toshifumi Kimba, Masahiro Hatakeyama, Shoji Yoshikawa, Takeshi Murakami, Kenji Watanabe, Tsutomu Karimata, Kenichi Suematsu, Yutaka Tabe, Ryo Tajima, Keiichi Tohyama
  • Patent number: 8946627
    Abstract: A method includes irradiating a surface of a sample, which is made-up of multiple types of materials, with a beam of primary electrons. Emitted electrons emitted from the irradiated sample are detected using multiple detectors that are positioned at respective different positions relative to the sample, so as to produce respective detector outputs. Calibration factors are computed to compensate for variations in emitted electron yield among the types of the materials, by identifying, for each material type, one or more horizontal regions on the surface that are made-up of the material type, and computing a calibration factor for the material type based on at least one of the detector outputs at the identified horizontal regions. The calibration factors are applied to the detector outputs. A three-dimensional topographical model of the surface is calculated based on the detector outputs to which the calibration factors are applied.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: February 3, 2015
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Ishai Schwarzband, Yakov Weinberg
  • Patent number: 8941891
    Abstract: An organic light emitting diode (OLED) display is disclosed. One aspect includes a pixel unit including a plurality of pixels formed at portions at which scanning lines and data lines intersect with each other; a scan driver for supplying scan signals to the scanning lines. The OLED display further comprises a data driver for supplying data signals to the data lines; and a data compensation unit changing the input data using a correction coefficient stored as a unit of a pixel block including a plurality of pixels and supplying the changed input data to the data driver. In such OLED display, the pixel block is divided so that the number of pixel arranged in the first direction is different from the number of pixel arranged in the second direction which intersects with the first direction.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: January 27, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Won-Jun Choe
  • Patent number: 8941085
    Abstract: The present disclosure provides a systems and methods for e-beam lithography. One system includes an electron source operable to produce a beam and an array of pixels operable to pattern the beam. Control circuitry is spaced a distance from and coupled to the array of pixels. The control circuitry uses time domain multiplex loading (TMDL) to control the array of pixels.
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: January 27, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Zhang Kuo, Ping-Lin Yang, Cheng-Chung Lin, Osamu Takahashi, Sang Hoo Dhong
  • Patent number: 8941336
    Abstract: A compact synchrotron radiation source includes an electron beam generator, an electron storage ring, one or more wiggler insertion devices disposed along one or more straight sections of the electron storage ring, the one or more wiggler insertion devices including a set of magnetic poles configured to generate a periodic alternating magnetic field suitable for producing synchrotron radiation emitted along the direction of travel of the electrons of the storage ring, wherein the one or more wiggler insertion devices are arranged to provide light to a set of illumination optics of a wafer optical characterization system or a mask optical characterization system, wherein the etendue of a light beam emitted by the one or more wiggler insertion devices is matched to the illumination optics of the at least one of a wafer optical characterization system and the mask optical characterization system.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: January 27, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Yanwei Liu, Daniel C. Wack
  • Patent number: 8937289
    Abstract: Methods and apparatus for reducing vibrations in an extreme ultraviolet (EUV) lithography system associated with the cooling of mirrors are described. According to one aspect of the present invention, an apparatus includes a first assembly, a structure, a vibration isolator, and a hose arrangement. The first assembly includes a heat exchanger and a mirror assembly. The structure is subject to vibrations, and the vibration isolator is arranged to attenuate the vibrations when the vibrations are transmitted through the hose arrangement. The hose arrangement being coupled between the heat exchanger and the structure, and the vibration isolator is coupled to the hose arrangement.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 20, 2015
    Assignee: Nikon Corporation
    Inventors: Douglas C. Watson, Travis Bow
  • Patent number: 8937003
    Abstract: A technique for ion implanting a target is disclosed. In accordance with one exemplary embodiment, the technique may be realized as a method for ion implanting a target, the method comprising: providing a predetermined amount of processing gas in an arc chamber of an ion source, the processing gas containing implant species and implant species carrier, where the implant species carrier may be one of O and H; providing a predetermined amount of dilutant into the arc chamber, wherein the dilutant may comprise a noble species containing material; and ionizing the processing gas and the dilutant.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: January 20, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Alexander S. Perel, Craig R. Chaney, Wayne D. LeBlanc, Robert Lindberg, Antonella Cucchetti, Neil J. Bassom, David Sporleder, James Young
  • Patent number: 8933422
    Abstract: The objective of the present invention is to provide a charged particle beam device such that a tip part can be effectually maintained in a clean state, while the frequency of valve body replacements is also reduced. To achieve the objective, a charged particle beam device is offered, comprising: a partition that is positioned between a charged particle source-side vacuum space and a specimen stage-side vacuum space, said partition further comprising an opening for a charged particle beam to pass through; a driver mechanism that moves a shutter member between a first location within the optical axis of the charged particle beam and a second location outside the optical axis of the charged particle beam; and a control device that controls the driver mechanism.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: January 13, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoichi Ishii, Takashi Doi, Osamu Sato, Yasushi Ebizuka
  • Patent number: 8933424
    Abstract: An ion implantation system and method are provided where an ion source generates an ion and a mass analyzer mass analyzes the ion beam. A beam profiling apparatus translates through the ion beam along a profiling plane in a predetermined time, wherein the beam profiling apparatus measures the beam current across a width of the ion beam concurrent with the translation, therein defining a time and position dependent beam current profile of the ion beam. A beam monitoring apparatus is configured to measure the ion beam current at an edge of the ion beam over the predetermined time, therein defining a time dependent ion beam current, and a controller determines a time independent ion beam profile by dividing the time and position dependent beam current profile of the ion beam by the time dependent ion beam current, therein by cancelling fluctuations in ion beam current over the predetermined time.
    Type: Grant
    Filed: November 21, 2013
    Date of Patent: January 13, 2015
    Assignee: Axcelis Technologies, Inc.
    Inventor: Shu Satoh
  • Patent number: 8933400
    Abstract: Provided is an inspection apparatus or observation apparatus enabling appropriate inspection or observation of a sample in an easy-to-use manner, using a charged-particle technique and an optical technique.
    Type: Grant
    Filed: September 3, 2012
    Date of Patent: January 13, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yusuke Ominami, Mami Konomi, Sukehiro Ito, Tomohisa Ohtaki, Shinsuke Kawanishi
  • Patent number: 8933423
    Abstract: Provided is a technique to perform FIB milling, in spite of its sample dependency, effectively into a desired shape without influences of individual differences among operators. A charged particle beam device includes an ion beam optical system device configured to irradiate a sample with an ion beam generated at an ion source; a controller thereof; an element detector configured to detect elements constituting the sample; a controller thereof; and a central processor configured to automatically set conditions for the sample based on the element specified by the element detector.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: January 13, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Terutaka Nanri, Satoshi Tomimatsu
  • Publication number: 20150001423
    Abstract: The invention relates to a device for spot size measurement at wafer level in a multi charged particle beam lithography system. The device comprises a knife edge structure on top of a scintillating material, such a YAG material. The knife edge structure is arranged in a Si wafer which has a top plane at a sharp angle to a (1 1 0) plane of the Si. In an embodiment the angle is in the range from 2 to 4 degrees, preferably in the range from 2.9-3.1 degrees. The invention relates in addition to a method for manufacturing a device for spot size measurement at wafer level in a multi charged particle beam lithography system.
    Type: Application
    Filed: December 5, 2012
    Publication date: January 1, 2015
    Applicant: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Jan Andries Meijer, Paul IJmert Scheffers, Abdou Sarr
  • Patent number: 8921812
    Abstract: A position measurement device measures the position of a position measurement mark formed on the lower surface of a reticle, thereby measuring the position of the reticle. A position measurement device measures the position of the position measurement mark formed on the lower surface of a lower lid, thereby measuring the position of the lower lid. The relative displacement of the reticle and lower lid is known when the position of the reticle and the position of the lower lid are known. Therefore, when the lower lid having the reticle loaded thereon is carried with a carrying device and set in an exposure device, the stop position of the lower lid is determined by taking this displacement into account. As a result, the reticle can be correctly set in the exposure device.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: December 30, 2014
    Assignee: Nikon Corporation
    Inventors: Motoko Suzuki, Yukiharu Okubo
  • Patent number: 8921786
    Abstract: Provided is a charged particle beam apparatus or charged particle microscope capable of observing an observation target sample in an air atmosphere or a gas environment without making significant changes to the configuration of a conventional high vacuum charged particle microscope. In a charged particle beam apparatus configured such that a thin film (10) is used to separate a vacuum environment and an air atmosphere (or a gas environment), an attachment (121) capable of holding the thin film (10) and whose interior can be maintained at an air atmosphere or a gas environment is inserted into a vacuum chamber (7) of a high vacuum charged particle microscope. The attachment (121) is vacuum-sealed and fixed to a vacuum partition of the vacuum sample chamber. Image quality is further improved by replacing the atmosphere in the attachment with helium or a light-elemental gas that has a lower mass than atmospheric gases such as nitrogen or water vapor.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yusuke Ominami, Sukehiro Ito, Tomohisa Ohtaki
  • Patent number: 8921783
    Abstract: A method of using electron diffraction to obtain PDFs from crystalline, nanocrystalline, and amorphous inorganic, organic, and organometallic compound.
    Type: Grant
    Filed: January 21, 2014
    Date of Patent: December 30, 2014
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Simon Billinge, Christopher Farrow, Tatiana E. Gorelik, Mercouri Kanatzidis, Martin U. Schmidt
  • Patent number: 8921811
    Abstract: The current invention includes methods and apparatuses for processing, that is, altering and imaging, a sample in a high pressure charged particle beam system. Embodiments of the invention include a cell in which the sample is positioned during high pressure charged particle beam processing. The cell reduces the amount of gas required for processing, thereby allowing rapid introduction, exhaustion, and switching between gases and between processing and imaging modes. Maintaining the processes gases within the cell protects the sample chamber and column from contact with the gases. In some embodiments, the temperature of the cell walls and the sample can be controlled.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: December 30, 2014
    Assignee: FEI Company
    Inventors: Milos Toth, Rae Knowles