Dielectric Isolation Means (e.g., Dielectric Layer In Vertical Grooves) Patents (Class 257/374)
  • Patent number: 11955372
    Abstract: A semiconductor storage device includes: a semiconductor substrate; a plurality of circuit regions; and an element isolation region having a trench shape formed between the circuit regions. In the element isolation region including a thermal oxide film and a silicon oxide film, a sub-trench is formed in a bottom corner portion, and the thermal oxide film covers at least an inner wall of the sub-trench.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: April 9, 2024
    Assignee: KIOXIA CORPORATION
    Inventors: Takehiro Nakai, Mizuki Tamura, Yumiko Yamashita
  • Patent number: 11798870
    Abstract: There is provided a semiconductor device including: a conductive support including a first die pad and a second die pad having a potential different from a potential of the first die pad; a first semiconductor element mounted on the first die pad; a second semiconductor element mounted on the second die pad; and a sealing resin that covers the first semiconductor element, the second semiconductor element, and at least a portion of the conductive support.
    Type: Grant
    Filed: September 7, 2021
    Date of Patent: October 24, 2023
    Assignee: ROHM CO., LTD.
    Inventors: Yoshizo Osumi, Hiroaki Matsubara, Tomohira Kikuchi
  • Patent number: 11450667
    Abstract: A semiconductor device is disclosed. The semiconductor device includes a substrate, a well, an oxidation layer, a gate electrode and a shared source/drain electrode. The substrate has a first surface and a second surface opposite to each other. The well is formed in the substrate. The substrate and the well have a first conductivity type and a second conductivity type respectively. The oxidation layer is formed in the well. The gate electrode is formed above the first surface and has a first opening. The shared source/drain electrode is formed near the first surface in the oxidation layer and exposed from the first opening. The shared source/drain electrode has the first conductivity type.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: September 20, 2022
    Assignee: Raydium Semiconductor Corporation
    Inventors: Kuan-Hung Chou, Po-Chang Jen, Ming-Heng Tsai
  • Patent number: 11444182
    Abstract: A manufacturing method of a fin semiconductor device is disclosed. The method includes: providing a substrate; etching the substrate the first time to form a fin channel structure which protrudes from the substrate; forming a protective oxide layer on two sidewalls and the top surface of the fin channel structure; etching a the second time to form the base part of the fin channel structure, wherein the base part is not covered by the protective layer; oxidizing the base part of the fin channel, when the upper part of the fin channel is blocked from oxidation by the protective layer; removing both the protective layer and the oxidized base part of the fin channel structure, so that the upper part of the fin channel structure is suspended over the substrate.
    Type: Grant
    Filed: May 21, 2021
    Date of Patent: September 13, 2022
    Assignee: SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION
    Inventors: Qiuming Huang, Jun Tan, Qiang Yan
  • Patent number: 11257901
    Abstract: A semiconductor device of the present invention includes a semiconductor layer of a first conductivity type having a cell portion and an outer peripheral portion disposed around the cell portion, formed with a gate trench at a surface side of the cell portion, and a gate electrode buried in the gate trench via a gate insulating film, forming a channel at a portion lateral to the gate trench at ON-time, the outer peripheral portion has a semiconductor surface disposed at a depth position equal to or deeper than a depth of the gate trench, and the semiconductor device further includes a voltage resistant structure having a semiconductor region of a second conductivity type formed in the semiconductor surface of the outer peripheral portion.
    Type: Grant
    Filed: September 9, 2020
    Date of Patent: February 22, 2022
    Assignee: ROHM CO., LTD.
    Inventors: Yuki Nakano, Ryota Nakamura
  • Patent number: 11244900
    Abstract: A wiring structure includes first to third metal patterns on a substrate. The first metal pattern extends in a second direction and has a first width in a third direction. The second metal pattern extends in the third direction to cross the first metal pattern and have a second width in the second direction. The third metal pattern is connected to the first and second metal patterns at an area where the first and second metal patterns cross each other, and has a substantially rectangular shape with concave portions in each quadrant. The third metal pattern has a third width defined as a minimum distance between opposite ones of the concave portions in a fourth direction having an acute angle to the second and third directions, which is less or equal to than a smaller of the first and second widths.
    Type: Grant
    Filed: September 23, 2020
    Date of Patent: February 8, 2022
    Inventors: Jeonggil Lee, Sukhoon Kim, Sungmyong Park, Chanyang Lee, Honyun Park
  • Patent number: 11232989
    Abstract: A method of manufacturing a semiconductor device includes disposing two or more fins each having an initial fin profile on a substrate. A sacrificial oxide layer is grown on a first fin and a second fin of the two or more fins. The sacrificial oxide layer of the first and second fins is etched to trim the fin and to generate a next fin profile for the first and second fins. The growing and etching is repeated to trim the first and second fins such that the number of repetitions for the first fin and the second fin are different. Gate structures are formed over the two or more fins.
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: January 25, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsiao-Chun Chang, Guan-Jie Shen
  • Patent number: 11177448
    Abstract: The present disclosure provides a flexible display device and a manufacturing method. The manufacturing method includes: forming a layer of flexible display devices on a support plate; etching the layer of flexible display devices on the support plate to expose a portion of the support plate at a cutting region, the cutting region being a predetermined region between two adjacent flexible display devices; and removing the layer of flexible display devices from the support plate after the etching process so as to obtain a plurality of flexible display devices separated from each other.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: November 16, 2021
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Shuai Zhang, Yueping Zuo, Libin Liu
  • Patent number: 11177132
    Abstract: Methods for doping a semiconductor layer include forming a first mask on a first region of a semiconductor layer. A second region of the semiconductor layer, that is not covered by the first mask, is doped. A second mask is formed on the second region of the semiconductor layer. The first mask is etched away. The first region of the semiconductor layer is doped.
    Type: Grant
    Filed: July 3, 2019
    Date of Patent: November 16, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Junli Wang, Romain Lallement, Ardasheir Rahman, Liying Jiang, Brent A. Anderson
  • Patent number: 11127836
    Abstract: Gate structures and methods of forming the gate structures are described. In some embodiments, a method includes forming source/drain regions in a substrate, and forming a gate structure between the source/drain regions. The gate structure includes a gate dielectric layer over the substrate, a work function tuning layer over the gate dielectric layer, a metal-containing compound over the work function tuning layer, and a metal over the metal-containing compound, wherein the metal-containing compound comprises the metal as an element of the compound.
    Type: Grant
    Filed: May 6, 2019
    Date of Patent: September 21, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Chiang Wu, Chia-Ching Lee, Da-Yuan Lee, Hsueh Wen Tsau
  • Patent number: 11107889
    Abstract: A semiconductor device including a substrate having a major surface. The semiconductor device further includes a dielectric material on the major surface of the substrate. The semiconductor device further includes a first plurality of fins extending from the major surface of the substrate, wherein the dielectric material surrounding each fin of the first plurality of fins has a first thickness. The semiconductor device further includes a second plurality of fins extending from the major surface of the substrate, wherein a first fin of the second plurality of fins is on a first side of the first plurality of fins, a second fin of the second plurality of fins is on a second side of the first plurality of fins opposite the first side, the dielectric material surround each fin of the second plurality of fins has a second thickness, and the second thickness is different from the first thickness.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: August 31, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jhong-Sheng Wang, Jiaw-Ren Shih, Chun-Wei Chang, Sheng-Feng Liu
  • Patent number: 11101218
    Abstract: Some embodiments include an integrated assembly which has a semiconductor material with a surface. A first layer is over and directly against the surface. The first layer includes oxygen and a first metal. The relative amount of oxygen to the first metal is less than or equal to an amount sufficient to form stoichiometric metal oxide throughout the first layer. A second metal is over and directly against the first layer. A second layer is over and directly against the second metal. The second layer includes nitrogen and a third metal. Some embodiments include an integrated assembly which has a semiconductor material with a surface. A metal is adjacent the surface and is spaced from the surface by a distance of less than or equal to about 10 ?. There is no metal germanide or metal silicide between the metal and the surface.
    Type: Grant
    Filed: August 24, 2018
    Date of Patent: August 24, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Sumeet C. Pandey, Gurtej S. Sandhu
  • Patent number: 11049971
    Abstract: A semiconductor device and a method of forming the same, the semiconductor device includes a substrate, a gate structure and an epitaxial structure. The gate structure is disposed on the substrate, and the epitaxial structure is disposed in the substrate, at one side of the gate structure. The epitaxial structure includes a portion being protruded from a top surface of the substrate, and the portion includes a discontinuous sidewall, with a distance between a turning point of the discontinuous sidewalls and the gate structure being a greatest distance between the epitaxial structure and the gate structure.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: June 29, 2021
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Kuang-Hsiu Chen, Sung-Yuan Tsai, Chi-Hsuan Tang, Chun-Wei Yu, Yu-Ren Wang
  • Patent number: 11011644
    Abstract: The present disclosure provides a thin film transistor, a thin film transistor array, and a method for detecting an object to be detected, wherein the thin film transistor is configured to detect a parameter of an object to be detected bound with a metal ion and includes an active layer, wherein: a carrier of the active layer without a metal element contained in the metal ion bound is of a first mobility, and a carrier of the active layer with the metal element bound is of a second mobility different from the first mobility.
    Type: Grant
    Filed: July 9, 2019
    Date of Patent: May 18, 2021
    Assignees: Hefei Xinsheng Optoelectronics Technology Co., Ltd., BOE Technology Group Co., Ltd.
    Inventors: Guangyao Li, Lei Huang, Haitao Wang, Jun Wang, Qinghe Wang, Wei Li, Dongfang Wang, Liangchen Yan
  • Patent number: 10978670
    Abstract: A flexible display apparatus including: a first film including a first surface and a second surface that are opposite each other, and a first groove formed in the first surface, the first film having a first rigidity; a third film on the second surface of the first film; a fourth film facing the third film; an emission display unit between and encapsulated by the third film and the fourth film; and a second film on the fourth film and facing the first film, the second film having a second rigidity that is less than the first rigidity.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: April 13, 2021
    Assignee: Samsung Display Co., Ltd.
    Inventors: Valeriy Prushinskiy, Won-Sik Hyun, Hyong-Yeol Na, Min-Soo Kim, Mu-Gyeom Kim
  • Patent number: 10903111
    Abstract: Semiconductor devices and methods for forming semiconductor devices include opening at least one contact via through a sacrificial material down to contacts. Sides of the at least one contact via are lined by selectively depositing a barrier on the sacrificial material, the barrier extending along sidewalls of the at least one contact via from a top surface of the sacrificial material down to a bottom surface of the sacrificial material proximal to the contacts such that the contacts remain exposed. A conductive material is deposited in the at least one contact via down to the contacts to form stacked contacts having the hard mask on sides thereof. The sacrificial material is removed.
    Type: Grant
    Filed: March 20, 2019
    Date of Patent: January 26, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alex Joseph Varghese, Marc A. Bergendahl, Andrew M. Greene, Dallas Lea, Matthew T. Shoudy, Yann Mignot, Ekmini A. De Silva, Gangadhara Raja Muthinti
  • Patent number: 10903240
    Abstract: An integrated circuit (IC) includes a glass substrate and a buried oxide layer. The IC additionally includes a first semiconductor device coupled to the glass substrate. The first semiconductor device includes a first gate and a first portion of a semiconductive layer coupled to the buried oxide layer. The first gate is located between the glass substrate and the first portion of the semiconductive layer and between the glass substrate and the buried oxide layer. The IC additionally includes a second semiconductor device coupled to the glass substrate. The second semiconductor device includes a second gate and a second portion of the semiconductive layer. The second gate is located between the glass substrate and the second portion of the semiconductive layer. The first portion is discontinuous from the second portion.
    Type: Grant
    Filed: May 3, 2019
    Date of Patent: January 26, 2021
    Assignee: QUALCOMM Incorporated
    Inventors: Shiqun Gu, Daniel Daeik Kim, Matthew Michael Nowak, Jonghae Kim, Changhan Hobie Yun, Je-Hsiung Jeffrey Lan, David Francis Berdy
  • Patent number: 10847409
    Abstract: A method for shallow trench isolation structures in a semiconductor device and a semiconductor device including the shallow trench isolation structures are disclosed. In an embodiment, the method may include forming a trench in a substrate; depositing a first dielectric liner in the trench; depositing a first shallow trench isolation (STI) material over the first dielectric liner, the first STI material being deposited as a conformal layer; etching the first STI material; depositing a second STI material over the first STI material, the second STI material being deposited as a flowable material; and planarizing the second STI material such that top surfaces of the second STI material are co-planar with top surfaces of the substrate.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: November 24, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shiang-Bau Wang, Chun-Hung Lee
  • Patent number: 10784259
    Abstract: Provided is a semiconductor device including a substrate, an isolation structure, a barrier structure, a first conductive layer, a second conductive layer, a first gate dielectric layer, and a second gate dielectric layer. The substrate has a first region and a second region. The barrier structure is located on the isolation structure. The first conductive layer is located on the first region. The second conductive layer is located on the second region. The first gate dielectric layer is located between the first conductive layer and the substrate in the first region. The second gate dielectric layer is located between the second conductive layer and the substrate in the second region. The first gate dielectric layer and the second gate dielectric layer are separated by the isolation structure. A method of manufacturing the semiconductor device is also provided.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: September 22, 2020
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventors: Hung-Kwei Liao, Chen-Chiang Liu, Kuo-Sheng Shih, Yung-Yao Shih, Ming-Tsung Hsu
  • Patent number: 10734493
    Abstract: A semiconductor memory device may include a substrate, gate electrode structures stacked on the substrate, insulation patterns between the gate electrode structures, vertical channels penetrating through the gate electrode structures and the insulation patterns, and a data storage pattern. The vertical channels may be electrically connected to the substrate. The data storage pattern may be arranged between the gate electrode structures and the vertical channels. Each of the gate electrode structures may include a barrier film, a metal gate, and a crystal grain boundary plugging layer. The crystal grain boundary plugging layer may be between the barrier film and the metal gate.
    Type: Grant
    Filed: July 9, 2018
    Date of Patent: August 4, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hauk Han, Je-hyeon Park, Do-hyung Kim, Tae-yong Kim, Keun Lee, Jeong-gil Lee, Hyun-seok Lim
  • Patent number: 10707208
    Abstract: A method of forming vertical fins on a substrate at the same time, the method including, forming a mask segment on a first region of the substrate while exposing the surface of a second region of the substrate, removing a portion of the substrate in the second region to form a recess, forming a fin layer in the recess, where the fin layer has a different material composition than the substrate, and forming at least one vertical fin on the first region of the substrate and at least one vertical fin on the second region of the substrate, where the vertical fin on the second region of the substrate includes a fin layer pillar formed from the fin layer and a substrate pillar.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: July 7, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Juntao Li, Peng Xu
  • Patent number: 10644118
    Abstract: Embodiments of the present disclosure provide a self-aligned contact for a trench power MOSFET device. The device has a layer of nitride provided over the conductive material in the gate trenches and over portions of mesas between every two adjacent contact structures. Alternatively, the device has an oxide layer over the conductive material in the gate trenches and over portions of mesas between every two adjacent contact structures. It is emphasized that this abstract is provided to comply with rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: May 5, 2020
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Hongyong Xue, Sik Lui, Terence Huang, Ching-Kai Lin, Wenjun Li, Yi Chang Yang, Jowei Dun
  • Patent number: 10629499
    Abstract: A method for manufacturing a vertical transistor device includes forming a first plurality of fins in a first device region on a substrate, and forming a second plurality of fins in a second device region on the substrate. In the method, a plurality of dummy gate layers are formed on the substrate and around portions of each of the first and second plurality of fins in the first and second device regions. A barrier layer is formed between the first and second device regions. More specifically, the barrier layer is formed between respective gate regions of the first and second device regions. The method also includes removing the plurality of dummy gate layers from the first and second device regions, and replacing the removed plurality of dummy gate layers with a plurality of gate metal layers in the first and second device regions.
    Type: Grant
    Filed: June 13, 2018
    Date of Patent: April 21, 2020
    Assignee: International Business Machines Corporation
    Inventors: ChoongHyun Lee, Kangguo Cheng, Kisik Choi
  • Patent number: 10607881
    Abstract: Semiconductor devices and methods of forming thereof are disclosed. A substrate with different device regions defined in the substrate is provided. A deep trench isolation (DTI) structure is formed in the substrate to isolate the different device regions. The DTI structure includes a fill material and a dielectric layer surrounding the fill material in the DTI structure. Local oxidation of the substrate is performed over the DTI structure to form a thermal dielectric layer which overlaps the DTI structure. The thermal dielectric layer which overlaps the DTI structure forms a thick top corner dielectric in the DTI structure.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: March 31, 2020
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventor: Ke Dong
  • Patent number: 10580775
    Abstract: A semiconductor device adopts an isolation scheme to protect low voltage transistors from high voltage operations. The semiconductor device includes a substrate, a buried layer, a transistor well region, a first trench, and a second trench. The substrate has a top surface and a bottom surface. The buried layer is positioned within the substrate, and the transistor well region is positioned above the buried layer. The first trench extends from the top surface to penetrate the buried layer, and the first trench has a first trench depth. The second trench extending from the top surface to penetrate the buried layer. The second trench is interposed between the first trench and the transistor well region. The second trench has a second trench depth that is less than the first trench depth.
    Type: Grant
    Filed: August 21, 2017
    Date of Patent: March 3, 2020
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Pendharkar, Binghua Hu, Alexei Sadovnikov, Guru Mathur
  • Patent number: 10461188
    Abstract: The present disclosure relates to the technical field of semiconductors, and discloses a semiconductor device and a manufacturing method therefor. The method includes: providing a substrate structure, where the substrate structure includes: a substrate having a first device region and a second device region, a first dummy gate structure at the first device region, a second dummy gate structure at the second device region, and an LDD region below the first dummy gate structure. The first dummy gate structure includes a first dummy gate dielectric layer at the first device region, a first dummy gate on the first dummy gate dielectric layer, and a first spacer layer at a side wall of the first dummy gate. The second dummy gate structure includes a second dummy gate dielectric layer at the second device region, a second dummy gate on the second dummy gate dielectric layer, and a second spacer layer at a side wall of the second dummy gate.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: October 29, 2019
    Assignees: Semiconductor Manufacturing Int. (Beijing) Corp., Semiconductor Manufacturing Int. (Shanghai) Corp.
    Inventor: Fei Zhou
  • Patent number: 10461189
    Abstract: An integrated circuit device includes a fin-type active area protruding from a substrate; a plurality of liners sequentially covering lower side walls of the fin-type active area; a device isolation layer covering the lower side walls of the fin-type active area with the plurality of liners between the device isolation layer and the fin-type active area; and a gate insulating layer extending to cover a channel region of the fin-type active area, the plurality of liners, and the device isolation layer, and including protrusions located on portions of the gate insulating layer which cover the plurality of liners.
    Type: Grant
    Filed: July 6, 2018
    Date of Patent: October 29, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sug-Hyun Sung, Jung-gun You, Gi-gwan Park, Ki-il Kim
  • Patent number: 10461085
    Abstract: A semiconductor device includes a substrate. The semiconductor device further includes a first transistor on the substrate, wherein the first transistor includes a first source/drain electrode. The semiconductor device further includes a second transistor on the substrate, wherein the second transistor includes a second source/drain electrode. The semiconductor device further includes an insulating layer extending into the substrate, wherein the insulating layer directly contacts the first source/drain electrode and the second source/drain electrode.
    Type: Grant
    Filed: January 26, 2018
    Date of Patent: October 29, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chin-Shan Wang, Shun-Yi Lee
  • Patent number: 10418440
    Abstract: A memory structure including a substrate, stacked structures, at least one isolation structure, a second conductive layer, and a second dielectric layer is provided. The stacked structures are disposed on the substrate. Each of the stacked structures includes a first dielectric layer and a first conductive layer sequentially disposed on the substrate. A first opening is located between two adjacent stacked structures, and the first opening extends into the substrate. The isolation structure is disposed in the first opening and covers a sidewall of the first dielectric layer. The isolation structure has a recess, such that a top profile of the isolation structure is shaped as a funnel. The second conductive layer is disposed on the stacked structures and fills the first opening. The second dielectric layer is disposed between the second conductive layer and the first conductive layer.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: September 17, 2019
    Assignee: Winbond Electronics Corp.
    Inventors: Chung-Hsien Liu, Chun-Hsu Chen, Lu-Ping Chiang
  • Patent number: 10361201
    Abstract: Semiconductor structures, devices, and methods of forming the structures and device are disclosed. Exemplary structures include multi-gate or FinFET structures that can include both n-channel MOS (NMOS) and p-channel MOS (PMOS) devices to form CMOS structures and devices on a substrate. The devices can be formed using selective epitaxy and shallow trench isolation techniques.
    Type: Grant
    Filed: January 18, 2016
    Date of Patent: July 23, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Qi Xie, Vladimir Machkaoutsan, Jan Willem Maes
  • Patent number: 10290550
    Abstract: An integrated circuit device includes a substrate having a first portion in a first device region and a second portion in a second device region. A first semiconductor strip is in the first device region. A dielectric liner has an edge contacting a sidewall of the first semiconductor strip, wherein the dielectric liner is configured to apply a compressive stress or a tensile stress to the first semiconductor strip. A Shallow Trench Isolation (STI) region is over the dielectric liner, wherein a sidewall and a bottom surface of the STI region is in contact with a sidewall and a top surface of the dielectric liner.
    Type: Grant
    Filed: July 27, 2016
    Date of Patent: May 14, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Lin Lee, Chih Chieh Yeh, Feng Yuan, Hung-Li Chiang, Wei-Jen Lai
  • Patent number: 10263109
    Abstract: A semiconductor device has a silicide source/drain region is fabricated by growing silicon on an epitaxial region including silicon and either germanium or carbon. In the method, a gate electrode is formed on a semiconductor substrate with a gate insulating layer interposed therebetween. An epitaxial layer is formed in the semiconductor substrate at both sides of the gate electrodes. A silicon layer is formed to cap the epitaxial layer. The silicon layer and a metal material are reacted to form a silicide layer. In a PMOS, the epitaxial layer has a top surface and inclined side surfaces that are exposed above the upper surface of the active region. The silicon layer is grown on the epitaxial layer in such a way as to cap the top and inclined surfaces.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: April 16, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sungkwan Kang, Keum Seok Park, Byeongchan Lee, Sangbom Kang, Nam-Kyu Kim
  • Patent number: 10243047
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to active and passive radio frequency (RF) components with deep trench isolation structures and methods of manufacture. The structure includes a bulk high resistivity wafer with a deep trench isolation structure having a depth deeper than a maximum depletion depth at worst case voltage bias difference between devices which are formed on the bulk high resistivity wafer.
    Type: Grant
    Filed: December 8, 2016
    Date of Patent: March 26, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Steven M. Shank, Anthony K. Stamper, John J. Ellis-Monaghan
  • Patent number: 10229945
    Abstract: Provided is a solid state image pickup element including a MOS type transistor which amplifies a signal which is based on electric charges generated in a photoelectric conversion unit of a pixel. A channel region of the transistor is divided into a source-side region and a drain-side region. When a conductivity type of the transistor is defined as a first conductivity type and a conductivity type which is opposite to the first conductivity type is defined as a second conductivity type, a concentration of a first conductivity type impurity in the source-side region is higher than a concentration of the first conductivity type impurity in the drain-side region or a concentration of a second conductivity type impurity in the drain-side region is higher than a concentration of the second conductivity type impurity in the source-side region.
    Type: Grant
    Filed: May 15, 2017
    Date of Patent: March 12, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Takehiko Soda, Akira Okita
  • Patent number: 10109645
    Abstract: A semiconductor device includes a first device isolation layer defining active regions spaced apart from each other along a first direction on a substrate, second device isolation layers defining a plurality of active patterns protruding from the substrate, the second device isolation layers extending in the first direction to be spaced apart from each other in a second direction and connected to the first device isolation layer, a gate structure extending in the second direction on the first device isolation layer between the active regions, a top surface of the second device isolation layer being lower than a top surface of the active pattern, a top surface of the first device isolation layer being higher than the top surface of the active pattern, and at least part of a bottom surface of the gate structure being higher than the top surface of the active pattern.
    Type: Grant
    Filed: October 18, 2017
    Date of Patent: October 23, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Changseop Yoon, Junggun You, YoungJoon Park, Jeonghyo Lee
  • Patent number: 10109714
    Abstract: Structures including a vertical field-effect transistor and fabrication methods for a structure including a vertical field-effect transistor. A vertical field-effect transistor includes a source/drain region located in a section of a semiconductor layer, a first semiconductor fin projecting from the source/drain region, a second semiconductor fin projecting from the source/drain region, and a gate electrode on the section of the semiconductor layer and coupled with the first semiconductor fin and with the second semiconductor fin. The structure further includes a contact located in a trench defined in the section of the semiconductor layer between the first semiconductor fin and the second semiconductor fin. The contact is coupled with the source/drain region of the vertical field-effect transistor.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: October 23, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Hui Zang, Tek Po Rinus Lee
  • Patent number: 10103244
    Abstract: A method of making a semiconductor device is provided. The method includes forming a deep well (DWELL) and a well (WELL) in a first region of a substrate, the WELL adjacent a surface of the substrate so that an interface between the WELL and DWELL is exposed on the surface of the substrate. A channel for a DEMOS transistor is formed in the first region over the interface and includes a first channel formed in the WELL and a second channel formed in the DWELL. A gate layer is deposited and patterned to concurrently form in the first region a first gate for the DEMOS transistor and in a second region a second gate for an ESD device. Dopants are implanted in the first and second regions to concurrently form a drain extension of the DEMOS transistor, and an ESD diffusion region of the ESD device.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: October 16, 2018
    Assignee: Cypress Semiconductor Corporation
    Inventors: Venkatraman Prabhakar, Igor Kouznetsov
  • Patent number: 10079279
    Abstract: A method is provided for fabricating a semiconductor device. The method includes providing a semiconductor substrate having a gate structure; and forming offset sidewall spacers around the gate structure. The method also includes forming trenches in the semiconductor substrate at outside of the gate structure; and forming isolation layers on side surfaces of the trenches to prevent diffusions between subsequently formed doping regions. Further, the method includes removing at least portions of the offset sidewall spacers to expose portions of the surface of the semiconductor substrate between the gate structure and the trenches; and forming filling layers with a top surface higher than the surface of the semiconductor substrate by filling the trenches and covering portions of the surface of the semiconductor substrate between the trenches and the gate structure. Further, the method also includes forming doping regions configured as raised source/drain regions in the filling layers.
    Type: Grant
    Filed: September 23, 2016
    Date of Patent: September 18, 2018
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Hualong Song
  • Patent number: 10074563
    Abstract: Structures and formation methods of a semiconductor device structure are provided. The semiconductor device structure includes a gate stack over a semiconductor substrate and a spacer element over a sidewall of the gate stack. The spacer element is substantially free of oxygen. The semiconductor device structure also includes a dielectric layer over the semiconductor substrate, and the dielectric layer surrounds the gate stack and the spacer element. The semiconductor device structure further includes a conductive contact penetrating through the dielectric layer and electrically connected to a conductive feature over the semiconductor substrate. An angle between a sidewall of the conductive contact and a top surface of the spacer element is in a range from about 90 degrees to about 120 degrees, and the conductive contact covers a portion of the spacer element.
    Type: Grant
    Filed: July 29, 2016
    Date of Patent: September 11, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hua-Li Hung, Chih-Lun Lu, Hsu-Yu Huang, Tsung-Fan Yin, Ying-Ting Hsia, Yi-Wei Chiu, Li-Te Hsu
  • Patent number: 10074572
    Abstract: An integrated circuit device includes first and second fin-type active regions having different conductive type channel regions, a first device isolation layer covering both sidewalls of the first fin-type active region, and a second device isolation layer covering both sidewalls of the second fin-type active region. The first device isolation layer and the second device isolation layer have different stack structures. To manufacture the integrated circuit device, the first device isolation layer covering both sidewalls of the first fin-type active region and the second device isolation layer covering both sidewalls of the second fin-type active region are formed after the first fin-type active region and the second fin-type active region are formed. The first device isolation layer and the second device isolation layer are formed to have different stack structure.
    Type: Grant
    Filed: April 19, 2017
    Date of Patent: September 11, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-yup Chung, Yoon-seok Lee, Hyun-jo Kim, Hwa-sung Rhee, Hee-don Jeong, Se-wan Park, Bo-cheol Jeong
  • Patent number: 10038065
    Abstract: One illustrative method disclosed includes, among other things, forming an initial conductive source/drain structure that is conductively coupled to a source/drain region of a transistor device, performing a recess etching process on the initial conductive source/drain structure to thereby define a stepped conductive source/drain structure with a cavity defined therein, forming a non-conductive structure in the cavity, forming a layer of insulating material above the gate structure, the stepped conductive source/drain structure and the non-conductive structure, forming a gate contact opening in the layer of insulating material and forming a conductive gate contact in the gate contact opening that is conductively coupled to the gate structure.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: July 31, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Chanro Park, Min Gyu Sung, Hoon Kim
  • Patent number: 9972627
    Abstract: A semiconductor device that has a passing gate with a single gate electrode and a main gate with lower and upper gate electrodes mitigates gate induced drain leakage (GIDL). Additional elements that help mitigate GIDL include the upper gate electrode having a lower work function than the lower gate electrode, and the lower gate electrode being disposed below a storage node junction region while the upper gate electrode is disposed at a same level as the storage node junction region.
    Type: Grant
    Filed: June 22, 2015
    Date of Patent: May 15, 2018
    Assignee: SK HYNIX INC.
    Inventors: Tae Su Jang, Jeong Seob Kye
  • Patent number: 9960245
    Abstract: A transistor device including a semiconductor material layer, a gate layer, and an insulation layer between the gate layer and the semiconductor material layer is provided. The semiconductor material layer includes a first conductive portion, a second conductive portion, a channel portion between the first conductive portion and the second conductive portion, and a first protruding portion formed integrally. The channel portion has a first boundary adjacent to the first conductive portion, a second boundary adjacent to the second conductive portion, a third boundary, and a fourth boundary. The third boundary and the fourth boundary connect the terminals of the first boundary and the second boundary. The first protruding portion is protruded outwardly from the third boundary of the channel portion. The first gate boundary and the second gate boundary are overlapped with the first boundary and the second boundary of the channel portion.
    Type: Grant
    Filed: March 15, 2017
    Date of Patent: May 1, 2018
    Assignee: Industrial Technology Research Institute
    Inventors: Tai-Jui Wang, Tsu-Chiang Chang, Chieh-Wei Feng, Shao-An Yan, Wei-Han Chen
  • Patent number: 9953967
    Abstract: An integrated circuit with DSL borders perpendicular to the transistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell. A method for forming an integrated circuit with DSL borders perpendicular to the transistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell.
    Type: Grant
    Filed: December 6, 2016
    Date of Patent: April 24, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Youn Sung Choi, Greg Charles Baldwin
  • Patent number: 9947762
    Abstract: A device includes a substrate, a gate dielectric over the substrate, and a gate electrode over the gate dielectric. A drain region and a source region are disposed on opposite sides of the gate electrode. Insulation regions are disposed in the substrate, wherein edges of the insulation regions are in contact with edges of the drain region and the source region. A dielectric mask includes a portion overlapping a first interface between the drain region and an adjoining portion of the insulation regions. A drain silicide region is disposed over the drain region, wherein an edge of the silicide region is substantially aligned to an edge of the first portion of the dielectric mask.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: April 17, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chu-Fu Chen, Chia-Chung Chen, Chi-Feng Huang, Victor Chiang Liang
  • Patent number: 9922973
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to switches with deep trench depletion and isolation structures and methods of manufacture. The structure includes a bulk substrate with a fully depleted region below source and drain regions of at least one gate stack and confined by deep trench isolation structures lined with doped material.
    Type: Grant
    Filed: June 1, 2017
    Date of Patent: March 20, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Steven M. Shank, Anthony K. Stamper, John J. Ellis-Monaghan, Thai Doan
  • Patent number: 9847278
    Abstract: A semiconductor device includes first and second bit line structures on a substrate and spaced apart from each other, a via plug partially filling between the first and second bit line structures, a via pad in contact with an upper surface of the via plug and an upper sidewall of the first bit line structure, the via pad being spaced apart from an upper portion of the second bit line structure, a first cavity filled with air being between the via plug and the first bit line structure and a second cavity filled with air between the via plug and the second bit line structure, A gap capping spacer having a first portion on the upper sidewall of the first bit line structure and a second portion covers the first air spacer. A horizontal width of the first portion is smaller than that of the second portion.
    Type: Grant
    Filed: April 11, 2016
    Date of Patent: December 19, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Eun Kim, Yongkwan Kim, Semyeong Jang, Jaehyoung Choi, Yoosang Hwang, Bong-Soo Kim
  • Patent number: 9847418
    Abstract: A method includes forming a fin on a substrate. A first liner is formed on the fin. A first dielectric layer is formed above the first liner. A patterned hard mask is formed above the first dielectric layer and has a fin cut opening defined therein. Portions of the first dielectric layer and the first liner disposed below the fin cut opening are removed to expose a portion of the fin. The patterned hard mask layer is removed. The exposed portion of the fin is oxidized to define a diffusion break in the fin.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: December 19, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Kwan-Yong Lim, Min Gyu Sung, Chanro Park
  • Patent number: 9825157
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to a heterojunction bipolar transistor with a stress component and methods of manufacture. The heterojunction bipolar transistor includes a collector region, an emitter region and a base region. Stress material is formed within a trench of a substrate and surrounding at least the collector region and the base region.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: November 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Vibhor Jain, Renata A. Camillo-Castillo, Anthony K. Stamper
  • Patent number: 9799676
    Abstract: The present disclosure provides semiconductor devices, fin field-effect transistors and fabrication methods thereof. An exemplary fin field-effect transistor includes a semiconductor substrate; an insulation layer configured for inhibiting a short channel effect and increasing a heat dissipation efficiency of the fin field-effect transistor formed over the semiconductor substrate; at least one fin formed over the insulation layer; a gate structure crossing over at least one fin and covering top and side surfaces of the fin formed over the semiconductor substrate; and a source formed in the fin at one side of the gate structure and a drain formed in the fin at the other side of the gate structure.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: October 24, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Xinyun Xie, Ming Zhou