Gate Electrode Of Refractory Material (e.g., Polysilicon Or A Silicide Of A Refractory Or Platinum Group Metal) Patents (Class 257/412)
  • Patent number: 9461041
    Abstract: A device including a substrate having a fin. A metal gate structure is formed on the fin. The metal gate structure includes a stress metal layer formed on the fin such that the stress metal layer extends to a first height from an STI feature, the first height being greater than the fin height. A conduction metal layer is formed on the stress metal layer.
    Type: Grant
    Filed: December 29, 2014
    Date of Patent: October 4, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Lin Yang, Tsu-Hsiu Perng, Chih Chieh Yeh, Li-Shyue Lai
  • Patent number: 9461128
    Abstract: Embodiments of the present invention provide improved methods of contact formation. A self aligned contact scheme with reduced lithography requirements is disclosed. This reduces the risk of shorts between source/drains and gates, while providing improved circuit density. Cavities are formed adjacent to the gates, and a fill metal is deposited in the cavities to form contact strips. A patterning mask is then used to form smaller contacts by performing a partial metal recess of the contact strips.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: October 4, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Mark A. Zaleski, Andy Chih-Hung Wei, Jason E. Stephens, Tuhin Guha Neogi, Guillaume Bouche
  • Patent number: 9449832
    Abstract: A method comprises depositing a metal layer partially filling a trench of a gate structure, forming a protection layer on the metal layer, wherein a sidewall portion of the protection layer is thinner than a bottom portion of the protection layer, removing a portion of the metal layer and removing the bottom portion of the protection layer.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: September 20, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Peng-Soon Lim, Da-Yuan Lee, Kuang-Yuan Hsu
  • Patent number: 9437706
    Abstract: A microelectronic device may be formed with at least one transistor having a source region and a drain region, wherein an interlayer dielectric layer may be formed adjacent the transistor. A trench may be formed through the first interlayer dielectric layer to at least one of the source region and the drain region and a conductive contact may be formed in the trench, wherein the conductive contact comprises a conformal conductive layer separated from the at least one of the source region and the drain region by a conformal insulating layer.
    Type: Grant
    Filed: November 25, 2014
    Date of Patent: September 6, 2016
    Assignee: Intel Corporation
    Inventors: Niloy Mukherjee, Gilbert Dewey, Matthew V. Metz, Jack T. Kavalieros, Robert S. Chau
  • Patent number: 9439295
    Abstract: Embodiments of the present invention are directed to electrical devices and methods for fabricating electrical devices using an oxidation process. According to one embodiment, a method of forming an electrical device using an oxidation process includes forming a metallic element which is to become an electrically insulating or resistive element in an electrical device; forming an electrically conductive element connected to the metallic element, wherein the metallic element and the electrically conductive element have different oxidation behavior when subjected to the oxidation process; and subjecting the elements forming the electrically insulating or resistive element and the electrically conductive element to the oxidation process.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: September 6, 2016
    Assignee: The United States of America as Represented by the Secretary of the Army
    Inventors: Nathan S. Lazarus, Christopher D. Meyer, Sarah S. Bedair
  • Patent number: 9437445
    Abstract: A technique for forming a semiconductor device is provided. Sacrificial mandrels are formed over a hardmask layer on a semiconductor layer. Spacers are formed on sidewalls of the sacrificial mandrels. The sacrificial mandrels are removed to leave the spacers. A masking process leaves exposed a first set of spacers with a second set protected. In response to the masking process, a first fin etch process forms a first set of fins in the semiconductor layer via first set of spacers. The first set of fins has a vertical sidewall profile. Another masking process leaves exposed the second set of spacers with the first set of spacers and the first set of fins protected. In response to the other masking process, a second fin etch process forms a second set of fins in semiconductor layer using the second set of spacers. The second set of fins has a trapezoidal sidewall profile.
    Type: Grant
    Filed: February 24, 2015
    Date of Patent: September 6, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chia-Yu Chen, Zuoguang Liu, Miaomiao Wang, Tenko Yamashita
  • Patent number: 9397105
    Abstract: Methods, devices, and systems associated with charge storage structures in semiconductor devices are described herein. In one or more embodiments, a method of forming nanodots includes forming at least a portion of a charge storage structure over a material by reacting a single-source precursor and a reactant, where the single-source precursor includes a metal and a semiconductor.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: July 19, 2016
    Assignee: Micron Technology, Inc.
    Inventor: Jaydeb Goswami
  • Patent number: 9384984
    Abstract: A method of forming a semiconductor device is disclosed. A substrate having a dielectric layer thereon is provided. The dielectric layer has a gate trench therein and a gate dielectric layer is formed on a bottom of the gate trench. A work function metal layer and a top barrier layer are sequentially formed in the gate trench. A treatment is performed to the top barrier layer so as to form a silicon-containing top barrier layer. A low-resistivity metal layer is formed in the gate trench.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: July 5, 2016
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Hsien Lin, Min-Hsien Chen
  • Patent number: 9385212
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes forming, on a substrate, a plurality of fins extending along a first direction; forming, on the fins, a dummy gate stack extending along a second direction; forming a gate spacer on opposite sides of the dummy gate stack in the first direction; epitaxially growing raised source/drain regions on the top of the fins on opposite sides of the gate spacer in the first direction; performing lightly-doping ion implantation through the raised source/drain regions with the gate spacer as a mask, to form source/drain extension regions in the fins on opposite sides of the gate spacer in the first direction; removing the dummy gate stack to form a gate trench; and forming a gate stack in the gate trench.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: July 5, 2016
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huaxiang Yin, Changliang Qin, Xiaolong Ma, Guilei Wang, Huilong Zhu
  • Patent number: 9379242
    Abstract: A method of fabricating a fin field effect transistor including providing a substrate having at least one fin structure, a dummy gate, and an internal dielectric layer thereon, removing the dummy gate to form a gate trench on the fin structure, blanketly forming a stress film on the substrate to cover a surface of the gate trench, performing a thermal annealing process, removing the stress film, and forming a metal gate is in the gate trench.
    Type: Grant
    Filed: May 28, 2015
    Date of Patent: June 28, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Mon-Sen Lin, Yu-Ping Wang, Yu-Ting Tseng, Hao-Yeh Liu, Chun-tsen Lu
  • Patent number: 9356029
    Abstract: A semiconductor device includes junction regions formed in upper portions of both sidewalls of a trench formed in a semiconductor substrate, a first gate electrode buried in the trench and having a stepped upper surface, and a second gate electrode formed on the first gate electrode to overlap a junction region.
    Type: Grant
    Filed: May 14, 2015
    Date of Patent: May 31, 2016
    Assignee: SK HYNIX INC.
    Inventors: Tae Kyung Oh, Min Soo Yoo
  • Patent number: 9349822
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having an interlayer dielectric (ILD) layer thereon; forming a first recess, a second recess, and a third recess in the ILD layer; forming a material layer on the ILD layer and in the first recess, the second recess, and the third recess; performing a first treatment on the material layer in the first recess; and performing a second treatment on the material layer in the first recess and second recess.
    Type: Grant
    Filed: November 18, 2014
    Date of Patent: May 24, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Wei Yang, Yu-Feng Liu, Jian-Cun Ke, Chia-Fu Hsu, En-Chiuan Liou, Ssu-I Fu, Chi-Mao Hsu, Nien-Ting Ho, Yu-Ru Yang, Yu-Ping Wang, Chien-Ming Lai, Yi-Wen Chen, Yu-Ting Tseng, Ya-Huei Tsai, Chien-Chung Huang, Tsung-Yin Hsieh, Hung-Yi Wu
  • Patent number: 9337057
    Abstract: Provided are methods for fabricating semiconductor devices. The methods for fabricating the semiconductor devices may include forming a first interlayer insulation film including a trench on a substrate, forming a high-k layer along an inner sidewall and a bottom surface of the trench, forming a first work function control film including impurities along the high-k layer, removing the impurities from the first work function control film to reduce surface resistance of the first work function control film by about 30% to about 60% and forming a gate metal in the trench.
    Type: Grant
    Filed: July 17, 2015
    Date of Patent: May 10, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-Kyu Park, Oh-Seong Kwon, Sung-Kee Han, Sang-Jin Hyun
  • Patent number: 9337304
    Abstract: A method of fabricating a semiconductor device includes epitaxially-growing a strained material in a cavity of a substrate comprising a major surface and the cavity, the cavity being below the major surface. A lattice constant of the strained material is different from a lattice constant of the substrate. The method also includes forming a first metal layer over the strained material, and forming a dielectric layer over the first metal layer, wherein the dielectric layer has a thickness ranging from 1 nm to 10 nm. The method further includes forming a dummy poly-silicon over the dielectric layer, and forming an interlayered dielectric layer (ILD) surrounding the dummy poly-silicon. The method additionally includes removing the dummy poly-silicon over the dielectric layer, and forming a second metal layer over the dielectric layer.
    Type: Grant
    Filed: June 16, 2015
    Date of Patent: May 10, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 9324811
    Abstract: Structures including a tensile-stressed silicon arsenic layer, devices including the structures, and methods of forming the devices and structures are disclosed. Exemplary tensile-stressed silicon arsenic layer have an arsenic doping level of greater than 5 E+20 arsenic atoms per cubic centimeter. The structures can be used to form metal oxide semiconductor devices.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: April 26, 2016
    Assignee: ASM IP Holding B.V.
    Inventor: Keith Doran Weeks
  • Patent number: 9318616
    Abstract: Provided is a thin film transistor having an oxide semiconductor material for an organic light emitting diode display and a method for manufacturing the same. The organic light emitting diode display includes a gate electrode formed on a substrate; a gate insulating layer formed on the gate electrode; a semiconductor layer formed on the gate insulating layer to overlap with the gate electrode, and including a channel area and source and drain areas which extend from the channel area to both outsides, respectively and are conductorized; an etch stopper formed on the channel area and exposing the source area and the drain area; a source electrode contacting portions of the exposed source electrode; and a drain electrode contacting portions of the exposed drain electrode.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: April 19, 2016
    Assignee: LG Display Co., Ltd.
    Inventors: Sungjin Hong, Byungchul Ahn, Youngju Koh, Woojin Nam, Ryosuke Tani
  • Patent number: 9269570
    Abstract: A method is provided for producing a microelectronic device with plural zones made of a metal and semiconductor compound, from semiconductor zones made of different semiconductor materials, and on which a thin semiconductor layer is formed prior to the deposition of a metal layer so as to lower the nucleation barrier of the semiconductor zones when reacting with the metal layer.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: February 23, 2016
    Assignees: Commissariat a l'énergie atomique et aux énergies alternatives, STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Yves Morand, Charles Baudot, Fabrice Nemouchi
  • Patent number: 9263670
    Abstract: A memory element and a memory device, the memory element including a first electrode, a memory layer, and a second electrode in this order. The memory layer includes a resistance change layer provided on the first electrode side, and an ion source layer provided on the second electrode side and is higher in resistance value than the resistance change layer. A resistance value of the resistance change layer is changeable in response to a composition change by applied voltage to the first and second electrodes.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: February 16, 2016
    Assignee: SONY CORPORATION
    Inventors: Shuichiro Yasuda, Hiroaki Sei, Akira Kouchiyama, Masayuki Shimuta, Naomi Yamada
  • Patent number: 9250369
    Abstract: A method for making a hollow-structure metal grating is provided. The method includes providing a substrate, forming a patterned mask layer on a surface of the substrate, applying a metal layer with a thickness greater than 10 nanometers on the patterned mask layer, and removing the patterned mask layer by a washing method using organic solvent. The patterned mask layer includes a plurality of first protruding structures and a plurality of first cavities arranged in intervals.
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: February 2, 2016
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Ben-Feng Bai, Shou-Shan Fan
  • Patent number: 9246094
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. The resistive switching nonvolatile memory cells may include a first layer disposed. The first layer may be operable as a bottom electrode. The resistive switching nonvolatile memory cells may also include a second layer disposed over the first layer. The second layer may be operable as a resistive switching layer that is configured to switch between a first resistive state and a second resistive state. The resistive switching nonvolatile memory cells may include a third layer disposed over the second layer. The third layer may be operable as a resistive layer that is configured to determine, at least in part, an electrical resistivity of the resistive switching nonvolatile memory element. The third layer may include a semi-metallic material. The resistive switching nonvolatile memory cells may include a fourth layer that may be operable as a top electrode.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Yun Wang, Federico Nardi, Milind Weling
  • Patent number: 9214543
    Abstract: A gate structure including a substrate and a gate dielectric layer formed over the substrate. The gate structure further includes a workfunction layer over the gate dielectric layer and spacers enclosing the gate dielectric layer and the workfunction layer. A top surface of a portion of the workfunction layer in contact with sidewalls of the spacer is a same distance from the gate dielectric layer as a top surface of a center portion of the work function layer.
    Type: Grant
    Filed: June 11, 2013
    Date of Patent: December 15, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Simon Su-Horng Lin, Chi-Ming Yang, Chyi Shyuan Chern, Chin-Hsiang Lin
  • Patent number: 9178152
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating them using metal organic chemical vapor deposition (MOCVD). Specifically, MOCVD is used to form an embedded resistor that includes two different nitrides. The first nitride may be more conductive than the second nitride. The concentrations of these nitrides may vary throughout the thickness of the embedded resistor. This variability may be achieved by changing flow rates of MOCVD precursors during formation of the embedded resistor. The second nitride may be concentrated in the middle of the embedded resistor, while the first nitride may be present at interface surfaces of the embedded resistor. As such, the first nitride protects the second nitride from exposure to other components and/or environments and prevents oxidation of the second nitride. Controlling the distribution of the two nitrides within the embedded resistor allows using new materials and achieving consistent performance of the embedded resistor.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: November 3, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Chien-Lan Hsueh, Yun Wang
  • Patent number: 9171953
    Abstract: A fin type transistor includes a dielectric layer on a substrate surface which serves to isolate the gate of the transistor from the substrate. The dielectric layer includes a non-selectively etched surface to produce top portions of fin structures which have reduced height variations across the wafer. The fin type transistor may also include a buried stressor and/or raised or embedded raised S/D stressors to cause a strain in the channel to improve carrier mobility.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: October 27, 2015
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Eng Huat Toh, Jae Gon Lee, Chung Foong Tan, Elgin Quek
  • Patent number: 9099346
    Abstract: A method for manufacturing a semiconductor device includes forming a first dummy gate on a substrate, performing a doping process to the substrate, thereby forming a source and a drain at sides of the first dummy gate, performing a first high temperature annealing to activate the source and drain, forming an inter-layer dielectric (ILD) material on the substrate, removing the first dummy gate to create an ILD trench, forming a first high-k dielectric layer within the ILD trench, forming a first dummy cap portion within the ILD trench over the first high-k dielectric layer, performing a second high-temperature annealing to reduce defects in the first high-k dielectric layer, and thereafter, replacing the first dummy cap portion with a first metal gate electrode.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei-Yuan Lu, Kuan-Chung Chen, Chun-Fai Cheng
  • Patent number: 9082873
    Abstract: A structure and method for fabricating finFETs of varying effective device widths is disclosed. Groups of fins are shortened by a predetermined amount to achieve an effective device width that is equivalent to a real (non-integer) number of full-sized fins. The bottom of each group of fins is coplanar, while the tops of the fins from the different groups of fins may be at different levels.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: July 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Tenko Yamashita, Kangguo Cheng, Balasubramanian S. Haran, Shom Ponoth, Theodorus Eduardus Standaert
  • Patent number: 9082783
    Abstract: The semiconductor device fabrication method of the present invention includes: laminating a plurality of amorphous silicon films on a semiconductor substrate, forming through-holes that pass through the plurality of amorphous silicon films, and subjecting the plurality of amorphous silicon films 301 that include the through-holes to an etching process that uses an alkaline aqueous solution; wherein the plurality of amorphous silicon films is formed to include a first amorphous silicon film and a second amorphous silicon film in which the rate of etching by using the alkaline aqueous solution is slower than that of the first amorphous silicon film and the first amorphous silicon film is interposed between the semiconductor substrate and the second amorphous silicon film.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: July 14, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Hiroki Yamawaki, Noriyuki Asami, Shigehisa Inoue
  • Patent number: 9076784
    Abstract: A semiconductor structure includes a work function metal layer, a (work function) metal oxide layer and a main electrode. The work function metal layer is located on a substrate. The (work function) metal oxide layer is located on the work function metal layer. The main electrode is located on the (work function) metal oxide layer. A semiconductor process forming said semiconductor structure is also provided.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: July 7, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Min-Chuan Tsai, Hsin-Fu Huang, Chi-Mao Hsu, Chin-Fu Lin, Chien-Hao Chen, Wei-Yu Chen, Chi-Yuan Sun, Ya-Hsueh Hsieh, Tsun-Min Cheng
  • Patent number: 9059203
    Abstract: Disclosed is a semiconductor-on-insulator (SOI) structure (e.g., an SOI field effect transistor (FET)) and method of forming the SOI structure so as to have sub-insulator layer void(s) selectively placed so that capacitance coupling between a first section of a semiconductor layer and the substrate will be less than capacitance coupling between a second section of the semiconductor layer and the substrate. The first section may contain a first device and the second section may contain a second device. Alternatively, the first and second sections may comprise different regions of the same device. For example, in an SOI FET, sub-insulator layer voids can be selectively placed in the substrate below the source, drain and/or body contact diffusion regions, but not below the channel region so that capacitance coupling between the these various diffusion regions and the substrate will be less than capacitance coupling between the channel region and the substrate.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Robert R. Robison, Richard Q. Williams
  • Patent number: 9041114
    Abstract: In one embodiment, a semiconductor device includes a semiconductor substrate, and a gate insulator arranged on the semiconductor substrate. The device further includes a gate electrode including a semiconductor layer and a metal layer which are sequentially arranged on the gate insulator. The device further includes a contact plug arranged on the gate electrode to penetrate the metal layer, and having a bottom surface at a level lower than an upper surface of the semiconductor layer.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: May 26, 2015
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Kenichi Ide
  • Publication number: 20150137273
    Abstract: A methodology for forming a self-aligned contact (SAC) that exhibits reduced likelihood of a contact-to-gate short circuit failure and the resulting device are disclosed. Embodiments may include forming a replacement metal gate, with spacers at opposite sides thereof, on a substrate, forming a recess in an upper surface of the spacers along outer edges of the replacement metal gate, and forming an aluminum nitride (AlN) cap over the metal gate and in the recess.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan ZHANG, Xiuyu CAI, Hoon KIM
  • Patent number: 9035399
    Abstract: A method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device. At least some of the illustrative embodiments are methods comprising forming a gate stack over a semiconductor substrate (the gate stack comprising a first polysilicon layer, a first nitride layer, and a second polysilicon layer), forming a second nitride layer over an active region in the semiconductor substrate adjacent to the gate stack, performing a chemical mechanical polishing that stops on the first nitride layer and on the second nitride layer, removing the first nitride layer and the second nitride layer, and performing a simultaneous silicidation of the first polysilicon layer and the active region.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: May 19, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Freidoon Mehrad, Shaofeng Yu, Steven A. Vitale, Joe G. Tran
  • Publication number: 20150129990
    Abstract: A semiconductor device includes a gate stack overlying a substrate. The semiconductor device further includes a spacer on sidewalls of the gate stack, where a top surface of the spacer is above a top surface of the gate stack. Additionally, the semiconductor device includes a protection layer overlying the gate stack and filling at least a portion of a space surrounded by the spacer above the top surface of the gate stack. Furthermore, the semiconductor device includes a contact hole over the spacer, where the contact hole extends over the gate stack, and where a sidewall of the contact hole has a step-wise shape.
    Type: Application
    Filed: January 23, 2015
    Publication date: May 14, 2015
    Inventors: Sey-Ping SUN, Tsung-Lin LEE, Chin-Hsiang LIN, Chih-Hao CHANG, Chen-Nan YEH, Chao-An JONG
  • Publication number: 20150123169
    Abstract: Gate metallization structures and methods for semiconductor devices are disclosed, wherein a refractory metal barrier is implemented to provide performance improvements. Transistor devices are disclosed having a compound semiconductor substrate and an electron-beam evaporated gate structure including a layer of tantalum nitride (TaNx), a layer of titanium (Ti) and a layer of gold (Au).
    Type: Application
    Filed: October 29, 2014
    Publication date: May 7, 2015
    Inventors: Shiban Kishan TIKU, Viswanathan RAMANATHAN
  • Publication number: 20150123216
    Abstract: A semiconductor structure includes a source region, a drain region, a channel region and a gate region over a bulk silicon substrate. The gate region further includes a dielectric layer and one or more work function layers disposed over the dielectric layer. A first filler material, such as a flowable oxide is provided over the source region and the drain region. A second filler material, such as an organic material, is provided within the gate region. The first filler material and the second filler material are selectively removed to create, source, drain and gate openings. The gate, source and drain openings are filled simultaneously with a metal, such as tungsten, to create a metal gate structure, source contact and drain contact.
    Type: Application
    Filed: November 4, 2013
    Publication date: May 7, 2015
    Applicant: Global Foundries Inc.
    Inventors: Deepasree KONDUPARTHI, Dinesh KOLI
  • Patent number: 9018764
    Abstract: According to one embodiment, a semiconductor device having an interlayer insulating film, a molybdenum containing layer, a barrier metal layer and a plug material layer is provided. The interlayer insulating film is formed on a substrate or on a conductive layer formed on a substrate. The interlayer insulating film has a hole reaching the substrate or the conductive layer. The molybdenum containing layer is formed in the substrate or in the conductive layer at a bottom portion of the hole. The barrier metal layer is formed on the molybdenum containing layer and on a side surface of the hole. A portion of the barrier metal layer is formed on the side surface contains at least molybdenum. A portion of the barrier metal layer is formed on the molybdenum containing layer includes at least a molybdenum silicate nitride film. The plug material layer is formed via the barrier metal layer.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: April 28, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuko Sakata, Takeshi Ishizaki
  • Patent number: 9018086
    Abstract: The present invention provides a method of forming a semiconductor device having a metal gate. A substrate is provided and a gate dielectric and a work function metal layer are formed thereon, wherein the work function metal layer is on the gate dielectric layer. Then, a top barrier layer is formed on the work function metal layer. The step of forming the top barrier layer includes increasing a concentration of a boundary protection material in the top barrier layer. Lastly, a metal layer is formed on the top barrier layer. The present invention further provides a semiconductor device having a metal gate.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: April 28, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chi-Mao Hsu, Hsin-Fu Huang, Chin-Fu Lin, Min-Chuan Tsai, Wei-Yu Chen, Chien-Hao Chen
  • Patent number: 9018710
    Abstract: A semiconductor device includes a substrate including first and second regions. A first gate stack structure containing a first effective work function adjust species is formed over the first region and a second gate stack structure containing a second effective work function adjust species is formed over the second region. A channel region is formed under the first gate stack structure and contains a threshold voltage adjust species.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: April 28, 2015
    Assignee: SK Hynix Inc.
    Inventors: Seung-Mi Lee, Yun-Hyuck Ji
  • Patent number: 9018714
    Abstract: A structure has at least one field effect transistor having a gate stack disposed between raised source drain structures that are adjacent to the gate stack. The gate stack and raised source drain structures are disposed on a surface of a semiconductor material. The structure further includes a layer of field dielectric overlying the gate stack and raised source drain structures and first contact metal and second contact metal extending through the layer of field dielectric. The first contact metal terminates in a first trench formed through a top surface of a first raised source drain structure, and the second contact metal terminates in a second trench formed through a top surface of a second raised source drain structure. Each trench has silicide formed on sidewalls and a bottom surface of at least a portion of the trench. Methods to fabricate the structure are also disclosed.
    Type: Grant
    Filed: July 28, 2014
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kerber, Christian Lavoie
  • Patent number: 9000508
    Abstract: Nonvolatile memory devices according to embodiments of the invention include highly integrated vertical stacks of nonvolatile memory cells. These vertical stacks of memory cells can utilize dummy memory cells to compensate for process artifacts that would otherwise yield relatively poor functioning memory cell strings when relatively large numbers of memory cells are stacked vertically on a semiconductor substrate using a plurality of vertical sub-strings electrically connected in series.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: April 7, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changhyun Lee, Sunhil Shim, Jaehoon Jang, Sunghoi Hur, Hansoo Kim, Kihyun Kim
  • Publication number: 20150091106
    Abstract: A method includes making a gate stack on the surface of an active zone, including depositing a first dielectric layer; depositing a gate conductive layer; depositing a first metal layer; depositing a second metal layer; depositing a second dielectric layer; partially etching the gate stack for the formation of a gate zone on the active zone; making insulating spacers on either side of the gate zone on the active zone; making source and drain electrodes zones; making silicidation zones on the surface of the source and drain zones; etching, in the gate zone on the active zone, the second dielectric layer and the second metal layer with stopping on the first metal layer, so as to form a cavity between the insulating spacers; making a protective plug at the surface of the first metal layer of the gate zone on the active zone, where the protective plug fills the cavity.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 2, 2015
    Inventors: SÉBASTIEN BARNOLA, YVES MORAND, HEIMANU NIEBOJEWSKI
  • Patent number: 8994124
    Abstract: Disclosed is a semiconductor device that comprises a gate insulating film formed on a semiconductor substrate; a first conductive metal-containing film formed on the gate insulating film; a second conductive metal-containing film, formed on the first metal-containing film, to which aluminum is added; and a silicon film formed on the second metal-containing film.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: March 31, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Arito Ogawa
  • Patent number: 8994125
    Abstract: A semiconductor device includes, on a semiconductor substrate, a gate insulating film, a pMIS metal material or an nMIS metal material, a gate electrode material, and a gate sidewall metal layer.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: March 31, 2015
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventor: Kenshi Kanegae
  • Patent number: 8994122
    Abstract: A memory cell region comprises a first interlayer insulating film having a bit contact hole, a contact plug formed of a first conductor film embedded in the bit contact hole, and a second conductor film which is stacked on the first interlayer insulating film to constitute a bit line connected to the contact plug. A peripheral transistor region comprises a peripheral transistor having a gate insulating film and a gate electrode stack formed on the gate insulating film. The gate electrode stack is provided with a metal gate film formed on the gate insulating film, an upper gate film stacked on the metal gate film, and a third conductor film stacked on the upper gate film. A height from a semiconductor substrate to a top face of the upper gate film is equal to or lower than a height of a top face of the first interlayer insulating film.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: March 31, 2015
    Assignee: PS4 Luxco S.A.R.L.
    Inventor: Hiroyuki Fujimoto
  • Publication number: 20150084109
    Abstract: A semiconductor device includes a lower insulating pattern on a semiconductor substrate, a lower gate pattern on the lower insulating pattern and formed of a doped polysilicon layer, a residual insulating pattern with an opening exposing a portion of a top surface of the lower gate pattern, an upper gate pattern on the residual insulating pattern, the upper gate pattern filling the opening, and a diffusion barrier pattern in contact with the portion of the top surface of the lower gate pattern and extending between the residual insulating pattern and the upper gate pattern.
    Type: Application
    Filed: December 5, 2014
    Publication date: March 26, 2015
    Inventors: Hauk Han, Yong-IL Kwon, JungSuk Oh, Tae sun Ryu, Jeonggil Lee
  • Patent number: 8987917
    Abstract: A semiconductor device is provided, in which it becomes easy to reliably couple a plug conductive layer and a wiring layer located over the plug conductive layer to each other and falling of the wiring can be suppressed. The plug conductive layer contacts a source/drain region formed over a major surface of the semiconductor substrate. A contact conductive layer is formed so as to contact both the upper surface and the side surface of the plug conductive layer. Wiring layers are formed over the contact conductive layer so as to be electrically coupled to the contact conductive layer.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: March 24, 2015
    Assignee: Renesas Electronics Corporation
    Inventor: Yukio Maki
  • Publication number: 20150076625
    Abstract: A semiconductor device according to an embodiment includes a gate wire including a laminated film in which a polysilicon film, a barrier conductive film, and a metal film are laminated in this order; a first contact plug/upper layer wire arranged above the source or the drain; a second upper layer wire arranged above an element isolation region; a second contact plug arranged apart from the second upper layer wire and connecting the metal film and the polysilicon film above a channel region; and a third contact plug formed apart from the polysilicon film in the element isolation region and connecting the second upper layer wire and the metal film. The second contact plug includes a barrier metal in contact with the polysilicon film and the barrier conductive film is made of WN, TaN, or Ta and the barrier metal is made of Ti or TiN.
    Type: Application
    Filed: January 28, 2014
    Publication date: March 19, 2015
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Mitsuhiro NOGUCHI, Masayuki AKOU
  • Publication number: 20150076624
    Abstract: Integrated circuits with smooth metal gates and methods for fabricating integrated circuits with smooth metal gates are provided. In an embodiment, a method for fabricating an integrated circuit includes providing a partially fabricated integrated circuit including a dielectric layer formed with a trench bound by a trench surface. The method deposits metal in the trench and forms an overburden portion of metal overlying the dielectric layer. The method includes selectively etching the metal with a chemical etchant and removing the overburden portion of metal.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 19, 2015
    Applicant: GLOBALFOUNDRIES, Inc.
    Inventors: Huang Liu, Jialin Yu, Jilin Xia
  • Patent number: 8981496
    Abstract: An embodiment includes a substrate, wherein a portion of the substrate extends upwards, forming a fin, a gate dielectric over a top surface and sidewalls of the fin, a liner overlaying the gate dielectric, and an uninterrupted metallic feature over the liner a portion of the liner overlaying the gate dielectric, wherein the liner extends from a top surface of the uninterrupted metallic feature and covers sidewalls of the metallic feature, and wherein the gate dielectric, liner, and uninterrupted metallic feature collectively form a gate, a gate contact barrier, and a gate contact.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: March 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Wen Liu, Chao-Hsiung Wang
  • Publication number: 20150069536
    Abstract: A semiconductor element and a method for producing the same are provided. A semiconductor element includes an active region comprising trenches, a termination region outside the active region, a transient region disposed between the active region and the termination region, the transient region including an inside trench, in which a center poly electrode is disposed inside at least one of the trenches of the active region, at least two gate poly electrodes are disposed adjacent to an upper portion of the center poly electrode, a p-body region is disposed between upper portions of the trenches, and a source region is disposed at a side of the gate poly electrodes.
    Type: Application
    Filed: March 19, 2014
    Publication date: March 12, 2015
    Applicant: MAGNACHIP SEMICONDUCTOR, LTD.
    Inventor: Youngjae KIM
  • Patent number: RE45579
    Abstract: A thin-film transistor includes a substrate, and a gate including a double-layered structure having first and second metal layers provided on the substrate, the first metal layer being wider than the second metal layer by 1 to 4 ?m. A method of making such a thin-film transistor includes the steps of: depositing a first metal layer on a substrate, depositing a second metal layers directly on the first metal layer; forming a photoresist having a designated width on the second metal layer; patterning the second metal layer via isotropic etching using the photoresist as a mask; patterning the first metal layer by means of an anisotropic etching using the photoresist as a mask, the first metal layer being etched to have the designated width, thus forming a gate having a laminated structure of the first and second metal layers; and removing the photoresist.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: June 23, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Byung-Chul Ahn, Hyun-Sik Seo