Three Or More Insulating Layers Patents (Class 257/637)
  • Patent number: 11682555
    Abstract: A method of manufacturing a semiconductor device includes forming a three-dimensional (3D) structure on a substrate, forming an adsorption control layer to cover an upper portion of the 3D structure, and forming a material layer on the adsorption control layer and on a lower portion of the 3D structure that is not covered by the adsorption control layer, wherein a minimum thickness of the material layer on the adsorption control layer is less than a maximum thickness of the material layer on the lower portion of the 3D structure.
    Type: Grant
    Filed: April 7, 2021
    Date of Patent: June 20, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-woon Park, Jin-su Lee, Hyung-suk Jung
  • Patent number: 11316025
    Abstract: An electronic device comprising: a semiconductor body of silicon carbide, SiC, having a first and a second face, opposite to one another along a first direction, which presents positive-charge carriers at said first face that form a positive interface charge; a first conduction terminal, which extends at the first face of the semiconductor body; a second conduction terminal, which extends on the second face of the semiconductor body; a channel region in the semiconductor body, configured to house, in use, a flow of electrons between the first conduction terminal and the second conduction terminal; and a trapping layer, of insulating material, which extends in electrical contact with the semiconductor body at said channel region and is designed so as to present electron-trapping states that generate a negative charge such as to balance, at least in part, said positive interface charge.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: April 26, 2022
    Assignee: STMICROELECTRONICS S.R.L.
    Inventors: Patrick Fiorenza, Fabrizio Roccaforte, Mario Giuseppe Saggio
  • Patent number: 11107898
    Abstract: A semiconductor device includes a semiconductor part, first and second electrodes on back and front surfaces of the semiconductor part, respectively, a control electrode and a field plate inside a trench on the front surface side. The semiconductor part includes first and third layers of a first conductivity type and a second layer of a second conductivity type. The second layer is provided between the first layer and the second electrode. The third layer is selectively provided between the second layer and the second electrode. The field plate is electrically isolated from the semiconductor part by first and second insulating films. The control electrode is electrically isolated from the semiconductor part by the first insulating film. The second insulating film positioned between the first insulating film and the field plate. The second insulating film has a dielectric constant smaller than a dielectric constant of the first insulating film.
    Type: Grant
    Filed: February 20, 2020
    Date of Patent: August 31, 2021
    Assignees: KABUSHIKI KAISHA TOSHIBA, TOSHIBA ELECTRONIC DEVICES & STORAGE CORPORATION
    Inventor: Takuo Kikuchi
  • Patent number: 11031250
    Abstract: A semiconductor device and method of formation thereof. The semiconductor device includes a portion of a first material that abuts a portion of a second material and surrounds at least a portion of a semiconductor component. The first material has a first composition and a first index of refraction and is of a same type of material as the second material. The second material has a second composition and a second index of refraction. An opening in the first material exposes a portion of the semiconductor component.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: June 8, 2021
    Assignee: International Business Machines Corporation
    Inventors: Mona A. Ebrish, Michael Rizzolo, Son Nguyen, Raghuveer R. Patlolla, Donald F. Canaperi
  • Patent number: 10991574
    Abstract: A method of manufacturing a semiconductor device includes forming a three-dimensional (3D) structure on a substrate, forming an adsorption control layer to cover an upper portion of the 3D structure, and forming a material layer on the adsorption control layer and on a lower portion of the 3D structure that is not covered by the adsorption control layer, wherein a minimum thickness of the material layer on the adsorption control layer is less than a maximum thickness of the material layer on the lower portion of the 3D structure.
    Type: Grant
    Filed: May 9, 2019
    Date of Patent: April 27, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji-woon Park, Jin-su Lee, Hyung-suk Jung
  • Patent number: 10825908
    Abstract: A current collapse characteristic is sufficiently suppressed. After forming a large opening (first opening) passing through both a TEOS oxide layer 42 and an oxide layer 41, a thin oxide layer (third insulating layer) 43 is formed entirely covering the layers 41 and 42 and the first opening. In the thin oxide layer 43 inside the first opening, a second opening for exposing a group-III nitride semiconductor layer 10 is provided. A gate electrode 50 is formed at a slanted portion of the first opening including the second opening. A taper angle of the first opening is smaller in the TEOS oxide layer 42 than in the oxide layer 41.
    Type: Grant
    Filed: August 17, 2018
    Date of Patent: November 3, 2020
    Assignee: Sanken Electric Co., Ltd.
    Inventor: Hironori Aoki
  • Patent number: 10793952
    Abstract: A method for forming a sealing film, in which a buffer layer and a barrier layer whose density is higher than that of the buffer layer are alternately formed on a substrate, includes forming a first buffer layer on a surface of the substrate, forming a first barrier layer on a surface of the first buffer layer, and forming a second buffer layer on a surface of the first barrier layer. A ratio of a thickness of a portion of the first buffer layer in a thickness direction of the substrate relative to a thickness of a portion of the first buffer layer in an inclined direction that is inclined with respect to the thickness direction is closer to 1 than a ratio of a thickness of a portion of the second buffer layer in the thickness direction relative to a thickness of a portion of the second buffer layer in the inclined direction.
    Type: Grant
    Filed: October 29, 2015
    Date of Patent: October 6, 2020
    Assignee: TORAY ENGINEERING CO., LTD.
    Inventors: Masamichi Yamashita, Takayoshi Fujimoto, Masaki Mori
  • Patent number: 10692981
    Abstract: A memory device and a manufacturing method thereof are provided. The memory device includes a first gate structure, a second gate structure, an oxide layer and a nitride layer. The first gate structure and the second gate structure are disposed on a substrate. The oxide layer covers the first gate structure. The nitride layer is disposed on the substrate and covers the oxide and the second gate structure. The refraction index of a portion of the nitride layer adjacent to an interface between the nitride layer and each of the first gate structure and the second gate structure is about 5% to 10% less than the refraction index of the remaining portion of the nitride layer.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: June 23, 2020
    Assignee: eMemory Technology Inc.
    Inventors: Yen-Ting Chen, Ming-Shan Lo
  • Patent number: 10510655
    Abstract: A semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. A barrier layer on the sidewalls of the trench is formed using a surface modification process and a surface treatment process.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ying-Hsueh Chang Chien, Yu-Ming Lee, Man-Kit Leung, Chi-Ming Yang
  • Patent number: 10343902
    Abstract: A method for manufacturing a structure comprises a) providing a donor substrate comprising front and rear faces; b) providing a support substrate; c) forming an intermediate layer on the front face of the donor substrate or on the support substrate; d) assembling the donor and support substrates with the intermediate layer therebetween; e) thinning the rear face of the donor substrate to form a useful layer of a useful thickness having a first face disposed on the intermediate layer and a second free face; and wherein the donor substrate comprises a buried stop layer and a fine active layer having a first thickness less than the useful thickness, between the front face of the donor substrate and the stop layer; and after step e), removing, in first regions of the structure, a thick active layer delimited by the second free face of the useful layer and the stop layer.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: July 9, 2019
    Assignee: Soitec
    Inventor: Bruno Ghyselen
  • Patent number: 10304695
    Abstract: An interconnect dielectric material having an opening formed therein is first provided. A surface nitridation process is then performed to form a nitridized dielectric surface layer within the interconnect dielectric material. A metal layer is formed on the nitridized dielectric surface layer and then an anneal is performed to form a metal nitride layer between the metal layer and the nitridized dielectric surface layer. A portion of the originally deposited metal layer that is not reacted with the nitridized dielectric surface is then selectively removed and thereafter an electrical conducting structure is formed directly on the metal nitride layer that is present in the opening.
    Type: Grant
    Filed: July 17, 2017
    Date of Patent: May 28, 2019
    Assignee: International Business Machines Corporation
    Inventor: Chih-Chao Yang
  • Patent number: 10164105
    Abstract: An integrated circuit device, and a method of forming, including a semiconductor substrate, isolation regions extending into the semiconductor substrate, a semiconductor strip, and a semiconductor fin overlapping and joined to the semiconductor strip is provided. A first dielectric layer and a second dielectric layer are disposed on opposite sidewalls of the semiconductor strip. The integrated circuit device further includes a first conductive liner and a second conductive liner, wherein the semiconductor strip, the first dielectric layer, and the second dielectric layer are between the first conductive liner and the second conductive line. The first conductive liner and the second conductive liner are between, and in contact with, sidewalls of a first portion and a second portion of the isolation regions.
    Type: Grant
    Filed: January 3, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jean-Pierre Colinge
  • Patent number: 10096549
    Abstract: Semiconductor devices including an interconnection structure are provided. The devices may include an etch stop layer on a lower structure including a contact structure, a buffer layer on the etch stop layer, an intermetal insulating layer including a low-k dielectric material on the buffer layer. The intermetal insulating layer may include a first region having a first dielectric constant and a second region having a second dielectric constant different from the first dielectric constant. The device may also include interconnection structure including a plug portion electrically connected to the contact structure and an interconnection portion on the plug portion. The plug portion may include a first portion extending through the etch stop layer and a second portion that is in the intermetal insulating layer and has a width greater than a width of the first portion. The interconnection portion may include opposing lateral surfaces surrounded by the intermetal insulating layer.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: October 9, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung Hee Kim, Thomas Oszinda, Deok Young Jung, Jong Min Baek, Tae Jin Yim
  • Patent number: 10008382
    Abstract: The present disclosure involves forming a porous low-k dielectric structure. A plurality of conductive elements is formed over the substrate. The conductive elements are separated from one another by a plurality of openings. A barrier layer is formed over the conductive elements. The barrier layer is formed to cover sidewalls of the openings. A treatment process is performed to the barrier layer. The barrier layer becomes hydrophilic after the treatment process is performed. A dielectric material is formed over the barrier layer after the treatment process has been performed. The dielectric material fills the openings and contains a plurality of porogens.
    Type: Grant
    Filed: July 30, 2015
    Date of Patent: June 26, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Bo-Jiun Lin, Hai-Ching Chen, Tien-I Bao
  • Patent number: 9978583
    Abstract: Methods of forming conductive structures and the conductive structures are disclosed. A method includes forming an opening in a dielectric layer over a substrate, performing a cleaning process on the dielectric layer with the opening, forming a nucleation layer in the opening, etching the nucleation layer in the opening, and forming a conductive material in the opening and on the nucleation layer after the etching. An upper portion of the opening is distal from the substrate, and a lower portion of the opening is proximate the substrate. After the etching, a thickness of an upper portion of the nucleation layer in the upper portion of the opening is less than a thickness of a lower portion of the nucleation layer in the lower portion of the opening.
    Type: Grant
    Filed: April 6, 2017
    Date of Patent: May 22, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-I Tsai, Chi-Yuan Chen, Wei-Jung Lin, Chia-Han Lai
  • Patent number: 9941206
    Abstract: An interconnection structure includes an underlying layer including a lower interconnection, and an interlayered dielectric layer including a contact hole and a trench therein. The contact hole exposes a portion of the lower interconnection, and the trench extends along a first direction to be connected to the contact hole. A contact plug extends through the contact hole in the interlayered dielectric layer, and an upper interconnection line extends in the trench of the interlayered dielectric layer and connects to the contact plug. The contact plug includes lower and upper sidewalls inclined at first and second angles, respectively, relative to the underlying layer, and the second angle is less than the first angle. Related devices and fabrication methods are also discussed.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: April 10, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Minsung Kang
  • Patent number: 9881908
    Abstract: An embodiment package includes a first package; a thermal interface material (TIM) contacting a top surface of the first package, and a second package bonded to the first package. The second package includes a first semiconductor die, and the TIM contacts a bottom surface of the first semiconductor die. The package further includes a heat spreader disposed on an opposing surface of the second package as the first package.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: January 30, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Yi Lin, Hsien-Wen Liu, Po-Yao Lin, Cheng-Lin Huang, Shyue-Ter Leu, Shin-Puu Jeng
  • Patent number: 9881795
    Abstract: A method of manufacturing a semiconductor includes applying a planarization material to a substrate and forming an opening in the planarization material. The opening is filled with polysilicon. A plurality of etching modulation sequences are applied to the substrate, each of the etching modulation sequences including: applying a first etching process to the substrate, wherein the first etching process is more selective to polysilicon than the planarization material; and applying a second etching process to the substrate, wherein the second etching process is more selective to the planarization material than the polysilicon.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: January 30, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: David Gerald Farber, Ping Jiang, Brian K. Kirkpatrick, Douglas T. Grider, III
  • Patent number: 9728609
    Abstract: A step-flow growth of a group-III nitride single crystal on a silicon single crystal substrate is promoted. A layer of oxide oriented to a <111> axis of silicon single crystal is formed on a surface of a silicon single crystal substrate, and group-III nitride single crystal is crystallized on a surface of the layer of oxide. Thereupon, a <0001> axis of the group-III nitride single crystal undergoing crystal growth is oriented to a c-axis of the oxide. When the silicon single crystal substrate is provided with a miscut angle, step-flow growth of the group-III nitride single crystal occurs. By deoxidizing a silicon oxide layer formed at an interface of the silicon single crystal and the oxide, orientation of the oxide is improved.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: August 8, 2017
    Assignees: KABUSHIKI KAISHA TOYOTA CHUO KENKYUSHO, DENSO CORPORATION
    Inventors: Tetsuo Narita, Kenji Ito, Kazuyoshi Tomita, Nobuyuki Otake, Shinichi Hoshi, Masaki Matsui
  • Patent number: 9716178
    Abstract: In accordance with various embodiments of the disclosed subject matter, a fin field effect transistor and a fabricating method thereof are provided. In some embodiments, the method comprises: providing a semiconductor substrate including a fin part protruded above a surface of the semiconductor substrate; forming a metal sulfide layer on the semiconductor substrate, and across the top and side walls of the fin part, wherein the metal sulfide layer is used as a channel region of the fin field effect transistor; forming a first gate electrode structure on the metal sulfide layer and across the top and side walls of the fin part; and forming a source electrode layer and a drain electrode layer on both sides of the first gate structure respectively.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: July 25, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Deyuan Xiao
  • Patent number: 9673091
    Abstract: Disclosed is a method of forming back end of the line (BEOL) metal levels with improved dielectric capping layer to metal wire adhesion. The method includes process step(s) designed to address dielectric capping layer to metal wire adhesion, when the metal wire(s) in a given metal level are relatively thick. These process step(s) can include, for example: (1) selective adjustment of the deposition tool used to deposit the dielectric capping layer onto metal wires based on the pattern density of the metal wires in order to ensure that those metal wires actually achieve a temperature between 360° C.-400° C.; and/or (2) deposition of a relatively thin dielectric layer on the dielectric capping layer prior to formation of the next metal level in order to reduce the tensile stress of the metal wire(s) below without causing delamination. Also disclosed is an IC chip formed using the above-described method.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: June 6, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Felix P. Anderson, Edward C. Cooney, III, Michael S. Dusablon, David C. Mosher
  • Patent number: 9553042
    Abstract: A wiring structure thereof includes a first interlayer insulating film, a first wiring and a first electrode for the capacitive element embedded in the first interlayer insulating film, a barrier insulating film formed over the first interlayer insulating film to cover the wiring and the electrode, a second interlayer insulating film formed over the barrier insulating film, and a second wiring and a second electrode for the capacitive element embedded in the second interlayer insulating film. The lower surface of the second wiring is positioned in the middle of the thickness of the second interlayer layer film, and the lower surface of the second electrode is in contact with the barrier insulating film. The barrier insulating film of a portion interposed between both electrodes functions as a capacitance insulating film of the capacitive element and is thicker than the barrier insulating film of a portion covering the first wiring.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: January 24, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Teruhiro Kuwajima
  • Patent number: 9450106
    Abstract: Disclosed is a thin film transistor (TFT) of a display apparatus which reduces a leakage current caused by a hump and decreases screen defects. The TFT includes an active layer and a first gate electrode with a gate insulator therebetween, and a source electrode and a drain electrode respectively disposed at both ends of the active layer. The gate electrode branches as a plurality of lines and overlaps the active layer. The active layer includes one or more channel areas between the source electrode and the drain electrode, one or more dummy areas, and a plurality of link areas between the one or more channel areas to connect the one or more channel areas in one pattern. A length of each of the one or more dummy areas extends from an edge of a corresponding channel area.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: September 20, 2016
    Assignee: LG Display Co., Ltd.
    Inventors: Sang Kug Han, Ki Sul Cho, Choon Ho Park, Jin Ho Choi, Kuk Hwan Kim, Soo Hong Kim, Eun Ji Ham, Byoung Cheol Song
  • Patent number: 9431501
    Abstract: A semiconductor device includes a third first-conductivity-type semiconductor layer on a semiconductor substrate; a first pillar-shaped semiconductor layer formed on the semiconductor substrate and including a first first-conductivity-type semiconductor layer, a first body region, a second first-conductivity-type semiconductor layer, a first second-conductivity-type semiconductor layer, a second body region, a second second-conductivity-type semiconductor layer, and a third second-conductivity-type semiconductor layer; a first gate insulating film around the first body region; a first gate around the first gate insulating film; a second gate insulating film around the second body region; a second gate around the second gate insulating film; an output terminal made of a semiconductor and connected to the second first-conductivity-type semiconductor layer and the first second-conductivity-type semiconductor layer; and a first contact that connects the first gate and the second gate.
    Type: Grant
    Filed: June 19, 2015
    Date of Patent: August 30, 2016
    Assignee: UNISANTIS ELECTRONICS SINGAPORE PTE. LTD.
    Inventors: Fujio Masuoka, Hiroki Nakamura
  • Patent number: 9397221
    Abstract: The present invention discloses a thin film transistor, comprising an active layer, a gate insulating layer, a gate electrode, a source electrode, and a drain electrode formed on a substrate. The active layer is above the substrate. The gate insulating layer, the source electrode, and the drain electrode are above the active layer. The gate electrode is above the gate insulating layer. Wherein, the thin film transistor further comprises a shielding layer between the substrate and the active layer, the shielding layer is used to absorb external light. The thin film transistor according to the present invention not only has strong stability, but also has high output efficiency. Moreover, the thin film transistor can follow the existing process, which facilitates mass production. The present invention further discloses a manufacturing method of the thin film transistor and a thin film transistor array substrate using the thin film transistor.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: July 19, 2016
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventor: Chih-yu Su
  • Patent number: 9385039
    Abstract: To form a through-silicon via (TSV) in a silicon substrate without using plating equipment or using sputtering equipment or small metal particles, and form an interlayer connection by stacking a plurality of such silicon substrates, a through hole of a silicon substrate is filled using molten solder itself. In detail, solid solder placed above the through hole of the silicon substrate is molten and the molten solder is guided to and filled in the internal space. A metal layer can be deposited on an internal surface of the through hole beforehand, and also an intermetallic compound (IMC) can be formed in a portion other than the metal layer.
    Type: Grant
    Filed: December 3, 2014
    Date of Patent: July 5, 2016
    Assignee: International Business Machines Corporation
    Inventor: Katsuyuki Sakuma
  • Patent number: 9318375
    Abstract: A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: April 19, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Douglas C. La Tulipe, Jr., Mark Todhunter Robson
  • Patent number: 9308697
    Abstract: A method for fabricating flexible display module mainly includes following steps: providing a transparent carrier with a carrying-surface and a back-surface opposite to the carrying-surface; forming a photosensitive-release-film on the carrying-surface; providing a flexible substrate on the photosensitive-release-film; forming a pixel array on the flexible substrate; during or after forming the pixel array, conducting irradiation on the photosensitive-release-film from the back-surface of the transparent carrier to weaken bonding force between the photosensitive-release-film and the transparent carrier or simultaneously weaken both the bonding force between the photosensitive-release-film and the transparent carrier and the structure strength of the photosensitive-release-film; and then, removing the flexible substrate from the transparent carrier, in which at least one portion of the photosensitive-release-film is peeled off from the carrying-surface and remains on the flexible substrate.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: April 12, 2016
    Assignee: Au Optronics Corporation
    Inventors: Tsung-Ying Ke, Pei-Yun Wang, Pin-Fan Wang
  • Patent number: 9263276
    Abstract: A transistor is provided that includes a silicon layer with a source region and a drain region, a gate stack disposed on the silicon layer between the source region and the drain region, an L shaped gate encapsulation layer disposed on sidewalls of the gate stack, and a spacer disposed above the horizontal portion of the gate encapsulation layer and adjacent to the vertical portion of the gate encapsulation layer. The gate stack has a first layer of high dielectric constant material, a second layer comprising a metal or metal alloy, and a third layer comprising silicon or polysilicon. The gate encapsulation layer has a vertical portion covering the sidewalls of the first, second, and third layers of the gate stack and a horizontal portion covering a portion of the silicon layer that is adjacent to the gate stack.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: February 16, 2016
    Assignee: International Business Machines Corporation
    Inventors: Renee T. Mo, Wesley C. Natzle, Vijay Narayanan, Jeffrey W. Sleight
  • Patent number: 9245845
    Abstract: A semiconductor device includes a first wiring layer stacked over element electrodes above a silicon substrate and a second wiring layer stacked over the first wiring layer. The first wiring layer includes first source electrode wires and first drain electrode wires. The second wiring layer includes second source electrode wires and second drain electrode wires. The first wiring layer includes a first region and second regions. In the first region, each of the first source electrode wires and the first drain electrode wires is continuous. In each of the second regions, each of the first source electrode wires and the first drain electrode wires is discontinuous. Second source electrode wires and second drain electrode wires are arranged to alternately over the first regions and the second regions in one direction. External connection terminals are not connected over the second regions, and are connected over the first regions.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: January 26, 2016
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD
    Inventors: Kazuhiro Kaibara, Hiroshige Hirano
  • Patent number: 9081185
    Abstract: The display substrate includes a base substrate, a micro shutter, a first driving electrode, a second driving electrode and a plurality of anchors. The micro shutter is disposed on the base substrate, and includes a plurality of opening parts and a blocking part. The blocking part includes at least two trench structures and the blocking part is disposed between an adjacent pair of the opening parts. The first driving electrode is connected to a first side of the micro shutter. The second driving electrode is connected to a second side of the micro shutter opposite to the first side of the micro shutter. The plurality of anchors fixes the first and second driving electrodes and the micro shutter on the base substrate.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: July 14, 2015
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Sung-Sik Yun, Jae-Byung Park, Jin-Seob Byun, Hyun-Min Cho, Dae-Hyun Kim
  • Publication number: 20150137333
    Abstract: Methods for depositing a material, such as a metal or a transition metal oxide, using an ALD (atomic layer deposition) process and resulting structures are disclosed. Such methods include treating a surface of a semiconductor structure periodically throughout the ALD process to regenerate a blocking material or to coat a blocking material that enables selective deposition of the material on a surface of a substrate. The surface treatment may reactivate a surface of the substrate toward the blocking material, may restore the blocking material after degradation occurs during the ALD process, and/or may coat the blocking material to prevent further degradation during the ALD process. For example, the surface treatment may be applied after performing one or more ALD cycles. Accordingly, the presently disclosed methods enable in situ restoration of blocking materials in ALD process that are generally incompatible with the blocking material and also enables selective deposition in recessed structures.
    Type: Application
    Filed: December 8, 2014
    Publication date: May 21, 2015
    Inventor: Eugene P. Marsh
  • Patent number: 9035433
    Abstract: An organic light emitting device comprises a first substrate; a thin film transistor layer provided on the first substrate; a light emitting diode layer provided on the thin film transistor layer; and a passivation layer provided on the light emitting diode layer, the passivation layer including a first inorganic insulating film and a second inorganic insulating film, wherein a content of H contained in the first inorganic insulating film is smaller than that of H contained in the second inorganic insulating film.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: May 19, 2015
    Assignee: LG Display Co., Ltd.
    Inventors: Jin Goo Kang, Young Hoon Shin
  • Patent number: 9000569
    Abstract: A semiconductor structure includes a carrier, a first protective layer, a second protective layer, and a third protective layer. A first surface of the first protective layer comprises a first anti-stress zone. A first extension line from a first bottom edge intersects with a second extension line from a second bottom edge to form a first base point. A first projection line is formed on the first surface, an extension line of the first projection line intersects with the second bottom edge to form a first intersection point, a second projection line is formed on the first surface, and an extension line of the second projection line intersects with the first bottom edge to form a second intersection point. A zone by connecting the first base point, the first intersection point and the second intersection point is the first anti-stress zone.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: April 7, 2015
    Assignee: Chipbond Technology Corporation
    Inventors: Chin-Tang Hsieh, You-Ming Hsu, Ming-Sheng Liu, Chih-Ping Wang
  • Patent number: 9000568
    Abstract: A semiconductor structure includes a substrate, an oxide layer, a metallic oxynitride layer and a metallic oxide layer. The oxide layer is located on the substrate. The metallic oxynitride layer is located on the oxide layer. The metallic oxide layer is located on the metallic oxynitride layer. In addition, the present invention also provides a semiconductor process for forming the semiconductor structure.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: April 7, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Szu-Hao Lai, Yu-Ren Wang, Po-Chun Chen, Chih-Hsun Lin, Che-Nan Tsai, Chun-Ling Lin, Chiu-Hsien Yeh, Te-Lin Sun
  • Patent number: 8981466
    Abstract: Multilayer dielectric structures are provided having silicon nitride (SiN) and silicon oxynitride (SiNO) films for use as capping layers, liners, spacer barrier layers, and etch stop layers, and other components of semiconductor nano-devices. For example, a semiconductor structure includes a multilayer dielectric structure having multiple layers of dielectric material including one or more SiN layers and one or more SiNO layers. The layers of dielectric material in the multilayer dielectric structure have a thickness in a range of about 0.5 nanometers to about 3 nanometers.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: March 17, 2015
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Seth L. Knupp, Son V. Nguyen, Vamsi K. Paruchuri, Deepika Priyadarshini, Hosadurga K. Shobha
  • Patent number: 8981536
    Abstract: A semiconductor structure includes a carrier, a first protective layer, a second protective layer, and a third protective layer. A first surface of the first protective layer comprises a first anti-stress zone. The second protective layer reveals the first anti-stress zone and comprises a second surface, a first lateral side, a second lateral side and a first connection side. The second surface comprises a second anti-stress zone. An extension line of the first lateral side intersects with an extension line of the second lateral side to form a first intersection point. A zone formed by connecting the first intersection point and two points of the first connection side is the first anti-stress zone. The third protective layer reveals the second anti-stress zone and comprises a second connection side projected on the first surface to form a projection line parallel to the first connection side.
    Type: Grant
    Filed: October 8, 2013
    Date of Patent: March 17, 2015
    Assignee: Chipbond Technology Corporation
    Inventors: Chin-Tang Hsieh, You-Ming Hsu, Ming-Sheng Liu, Chih-Ping Wang
  • Patent number: 8975730
    Abstract: A device and corresponding fabrication method includes a vertical stack having an intermediate layer between a lower region and an upper region. The intermediate layer is extended by a protection layer. The vertical stack has a free lateral face on which the lower region, the upper region and the protection layer are exposed.
    Type: Grant
    Filed: September 19, 2012
    Date of Patent: March 10, 2015
    Assignees: STMicroelectronics (Crolles 2) SAS, STMicroelectronics SA
    Inventors: Didier Dutartre, Michel Marty, Sebastien Jouan
  • Publication number: 20150061088
    Abstract: The present disclosure relates to a semiconductor device including an oxygen gettering layer between a group III-V compound semiconductor layer and a dielectric layer, and a method of fabricating the semiconductor device. The semiconductor device may include a compound semiconductor layer; a dielectric layer disposed on the compound semiconductor layer; and an oxygen gettering layer interposed between the compound semiconductor layer and the dielectric layer. The oxygen gettering layer includes a material having a higher oxygen affinity than a material of the compound semiconductor layer.
    Type: Application
    Filed: March 13, 2014
    Publication date: March 5, 2015
    Inventors: Dong-soo LEE, Myoung-Jae LEE, Seong-ho CHO, Mohammad Rakib Uddin, David SEO, Moon-seung YANG, Sang-moon LEE, Sung-hun LEE, Ji-hyun HUR, Eui-chul HWANG
  • Patent number: 8970014
    Abstract: Semiconductor devices and methods of forming the semiconductor device are provided, the semiconductor devices including a first dielectric layer on a substrate, and a second dielectric layer on the first dielectric layer. The first dielectric layer has a carbon concentration lower than the second dielectric layer.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: March 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ha-jin Lim, Hyung-Suk Jung, Yun-Ki Choi
  • Publication number: 20150054143
    Abstract: A passivation structure includes a bottom dielectric layer. The passivation structure further includes a doped dielectric layer over the bottom dielectric layer. The doped dielectric layer includes a first doped layer and a second doped layer. The passivation structure further includes a top dielectric layer over the doped dielectric layer.
    Type: Application
    Filed: October 20, 2014
    Publication date: February 26, 2015
    Inventors: Cheng-Chi CHUANG, Kun-Ming HUANG, Hsuan-Hui HUNG, Ming-Yi LIN
  • Publication number: 20150048488
    Abstract: Semiconductor devices, methods of manufacture thereof, and IMD structures are disclosed. In some embodiments, a semiconductor device includes an adhesion layer disposed over a workpiece. The adhesion layer has a dielectric constant of about 4.0 or less and includes a substantially homogeneous material. An insulating material layer is disposed over the adhesion layer. The insulating material layer has a dielectric constant of about 2.6 or less. The adhesion layer and the insulating material layer comprise an IMD structure.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 19, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Yun Peng, Keng-Chu Lin, Joung-Wei Liou, Kuang-Yuan Hsu
  • Patent number: 8951882
    Abstract: A method of fabricating an optoelectronic integrated circuit substrate includes defining a photonic device region on a first substrate, the photonic device region having a photonic device formed thereon, forming a trench in the photonic device region on a top surface of the first substrate, the trench having a first depth, filling the trench with a dielectric, bonding a second substrate on the first substrate to cover the trench, and thinning the second substrate to a first thickness.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: February 10, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seong-ho Cho
  • Publication number: 20140374856
    Abstract: One or more stopper features (e.g., bump structures) are formed in a standard ASIC wafer top passivation layer for preventing MEMS device stiction vertically in integrated devices having a MEMS device capped directly by an ASIC wafer. A TiN coating may be used on the stopper feature(s) for anti-stiction. An electrical potential may be applied to the TiN anti-stiction coating of one or more stopper features.
    Type: Application
    Filed: June 25, 2013
    Publication date: December 25, 2014
    Inventors: Li Chen, Thomas Kieran Nunan, Kuang L. Yang
  • Patent number: 8884405
    Abstract: An integrated circuit includes a substrate and passivation layers. The passivation layers include a bottom dielectric layer formed over the substrate for passivation, a doped dielectric layer formed over the bottom dielectric layer for passivation, and a top dielectric layer formed over the doped dielectric layer for passivation.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Chi Chuang, Kun-Ming Huang, Hsuan-Hui Hung, Ming-Yi Lin
  • Patent number: 8877651
    Abstract: A method for manufacturing a semiconductor device includes forming a contact etch stop layer on an active area of a substrate that has a gate stack formed thereon. The gate stack includes a metal gate and a metal oxide. The contact etch stop layer includes a silicon oxide layer sandwiched between a first silicon nitride layer and a second silicon nitride layer that is disposed on the active area. The method further includes forming a contact hole extending through an interlayer dielectric layer on the first silicon nitride layer using the first silicon nitride layer as a protection for the active area, removing a portion of the first silicon nitride layer disposed at the bottom of the contact hole using the silicon oxide layer as a protection for the active area, and removing the metal oxide using the second silicon nitride layer as a protection for the active area.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: November 4, 2014
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Qiuhua Han, Xinpeng Wang, Yi Huang
  • Patent number: 8853792
    Abstract: Embodiments of transistors comprise a gate stack overlying a semiconductor material. The gate stack comprises a deposited oxide layer overlying the semiconductor material, an oxygen-diffusion barrier layer overlying the deposited oxide layer, a high-k dielectric layer overlying the oxygen-diffusion barrier layer, and a conductive material (e.g., an oxygen-gettering conductive material) overlying the high-k dielectric layer. When the conductive material is an oxygen-gettering conductive material, the oxygen-diffusion barrier layer prevents diffusion of oxygen from the deposited oxide layer to the oxygen-gettering conductive material.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: October 7, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Murshed M. Chowdhury, James K. Schaeffer
  • Publication number: 20140284773
    Abstract: A semiconductor device includes a first semiconductor layer, a second semiconductor layer of a second conductivity type formed on the first semiconductor layer, a first electrode which extends in a first direction and is surrounded by the first semiconductor layer except at one end thereof, and a first insulation film which is formed between the first semiconductor layer and the first electrode. A film thickness of the first insulation film between the other end of the first electrode in a second direction opposite to the first direction and the first semiconductor layer includes a thickness that is greater than a thickness of the first insulation film along a side surface of the first electrode. The semiconductor device also includes a second electrode which faces the second semiconductor layer, and a second insulation film which is formed between the second electrode and the second semiconductor layer.
    Type: Application
    Filed: September 2, 2013
    Publication date: September 25, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Toshifumi NISHIGUCHI
  • Patent number: 8836088
    Abstract: A semiconductor structure includes a substrate, a conductive feature over the substrate, a conductive plug structure contacting a portion of an upper surface of the conductive feature, a first etch stop layer over another portion of the upper surface of the conductive feature, and a second etch stop layer over the first etch stop layer. The first etch stop layer is a doped etch stop layer. The first etch stop layer is to function as an etch stop layer during a predetermined etching process for etching the second etch stop layer.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang
  • Patent number: 8836127
    Abstract: An integrated circuit device has a dual damascene structure including a lower via portion and an upper line portion. The lower via portion is formed in a polyimide layer, and the upper line portion is formed in an inter-metal dielectric (IMD) layer formed of USG or polyimide. A passivation layer is formed on the IMD layer, and a bond pad is formed overlying the passivation layer to electrically connect the upper line portion.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ching-Yu Lo, Bo-Jiun Lin, Hai-Ching Chen, Tien-I Bao, Shau-Lin Shue, Chen-Hua Yu