Three Or More Insulating Layers Patents (Class 257/637)
  • Patent number: 7187038
    Abstract: A semiconductor device includes a substrate, MOS transistors in the substrate, and a dielectric layer on the MOS transistors. Contact holes are formed through the dielectric layer to provide electrical connection to the MOS transistors. An etch-stop layer is between the MOS transistors and the dielectric layer. The etch-stop layer includes a first layer of material having a first residual stress level and covers some of the MOS transistors, and a second layer of material having a second residual stress level and covers all of the MOS transistors. The respective thickness of the first and second layers of material, and the first and second residual stress levels associated therewith are selected to obtain variations in operating parameters of the MOS transistors.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: March 6, 2007
    Assignee: STMicroelectronics SA
    Inventors: Pierre Morin, Jorge Luis Regolini
  • Patent number: 7173296
    Abstract: An embodiment of the invention is a method of making a semiconductor structure 10 where the spacer oxide layer 90 is formed by a hydrogen free precursor CVD process. Another embodiment of the invention is a semiconductor structure 10 having a spacer oxide layer 90 with a hydrogen content of less than 1%.
    Type: Grant
    Filed: October 6, 2004
    Date of Patent: February 6, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Clinton L. Montgomery, Amitabh Jain
  • Patent number: 7142576
    Abstract: A semiconductor laser includes an active layer formed on a substrate and a pair of cladding layers sandwiching the active layer. On at least one of resonator end faces of the semiconductor laser, a first dielectric film with hydrogen added therein is provided. Between the first dielectric film and the resonator end face, a second dielectric film for suppressing the diffusion of hydrogen is provided. Even when a semiconductor laser with an end face coating film including a hydrogen-added film is exposed to high temperatures, peeling of the end face coating film and deterioration of the end face coating film can be suppressed.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: November 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tetsuo Ueda, Keiji Yamane, Isao Kidoguchi, Shoji Fujimori
  • Patent number: 7132732
    Abstract: A semiconductor device has a semiconductor substrate, and a multi-layered wiring arrangement provided thereon. The multi-layered wring arrangement includes at least one insulating layer structure having a metal wiring pattern formed therein. The insulating layer structure includes a first SiOCH layer, a second SiOCH layer formed on the first SiOCH layer, and a silicon dioxide (SiO2) layer formed on the second SiOCH layer. The second SiOCH layer features a carbon (C) density lower than that of the first SiOCH layer, a hydrogen (H) density lower than that of the first SiOCH layer, and an oxygen (O) density higher than that of the first SiOCH layer.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: November 7, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Tatsuya Usami, Noboru Morita, Sadayuki Ohnishi, Koji Arita, Ryohei Kitao, Yoichi Sasaki
  • Patent number: 7118987
    Abstract: A shallow trench isolation (STI) structure and method of forming the same with reduced stress to improve charge mobility the method including providing a semiconductor substrate comprising at least one patterned hardmask layer overlying the semiconductor substrate; dry etching a trench in the semiconductor substrate according to the at least one patterned hardmask layer; forming one or more liner layers to line the trench selected from the group consisting of silicon dioxide, silicon nitride, and silicon oxynitride; forming one or more layers of trench filling material comprising silicon dioxide to backfill the trench; carrying out at least one thermal annealing step to relax accumulated stress in the trench filling material; carrying out at least one of a CMP and dry etch process to remove excess trench filling material above the trench level; and, removing the at least one patterned hardmask layer.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: October 10, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chu-Yun Fu, Chih-Cheng Lu, Syun-Ming Jang
  • Patent number: 7115956
    Abstract: In the manufacture of a semiconductor device, there are provided a method that enables reduction in the number of manufacturing steps thereof and a structure for realizing the method, to thereby realize improvement in yield and reduction in manufacturing cost. Wirings (source wiring, drain wiring, and the like), which are respectively formed in a row direction and a column direction on an element substrate, are formed of the same conductive film. In this case, one of the respective wirings in the row direction and the column direction is discontinuously formed at a portion where the wirings intersect with each other, and an insulating film is formed on the wirings. Thereafter, a connection wiring for connecting discontinuous wirings is formed of the same film as that for forming an electrode provided on the insulating film. As a result, a continuous wiring is formed.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: October 3, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Osamu Nakamura, Hideaki Kuwabara, Noriko Shibata
  • Patent number: 7096581
    Abstract: An integrated circuit includes a portion having at least one active circuit area. The integrated circuit also includes a redistribution metal layer fabricated at least partially during fabrication of the portion of the integrated circuit. A method for fabricating an integrated circuit includes fabricating a portion of the integrated circuit, where the portion includes at least one active circuit area. The method also includes fabricating a redistribution metal layer at least partially during fabrication of the portion of the integrated circuit.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: August 29, 2006
    Assignee: STMicroelectronics, Inc.
    Inventors: Danielle A. Thomas, Harry Michael Siegel, Antonio A. Do Bento Vieira, Anthony M. Chiu
  • Patent number: 7098515
    Abstract: An inventive semiconductor chip is provided. Generally, shallow trenches containing field oxide are provided on a substrate. At least one semiconductor device is formed between the shallow trenches. An oxide layer is formed over the at least one semiconductor device and the field oxide. An etch stop layer is formed over the oxide layer. An inter layer dielectric layer is formed over the etch stop layer. At least one contact hole is etched through the inter layer dielectric layer, the etch stop layer and at least partially through the oxide layer. The contact hole is filled with a conductive material.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: August 29, 2006
    Assignee: LSI Logic Corporation
    Inventors: Shioun Gu, Derryl J. Allman, Peter McGrath
  • Patent number: 7084508
    Abstract: A lower portion of an interlayer insulating film is formed contiguous with a semiconductor wafer. The lower portion has a high impurity concentration and a high etching rate. An upper portion of an interlayer insulating film is formed over the lower portion apart from the semiconductor wafer. The upper portion has a low impurity concentration and a low etching rate. A plurality of contact holes are formed through the interlayer insulating film by anisotropic etching. The bottom portion of each contact hole is expanded by isotropic etching, and a contact is formed in the contact hole. Thus, a satisfactory contact is formed in a hole of a large aspect ratio.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: August 1, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Takahisa Eimori
  • Patent number: 7075170
    Abstract: The invention is characterized by attaining a lower dielectric constant and including an inorganic dielectric film which is formed on the surface of a substrate and has a cyclic porous structure having a pore ratio of 50% or higher.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: July 11, 2006
    Assignee: Rohm Co., Ltd.
    Inventors: Yoshiaki Oku, Norikazu Nishiyama, Korekazu Ueyama
  • Patent number: 7067923
    Abstract: A first insulation film is made of a silicon material and is provided on a semiconductor base. A second insulation film is made of an organic material and is provided on the first insulation film. The second insulation film is thicker than the first insulation film. A third insulation film is thinner than the second insulation film and is provided on the second insulation film. The third insulation film is made of a silicon material and has a moisture resistance property. A fourth insulation film is made of an organic material. The fourth insulation film is provided on the third insulation film to prevent a damage on the third insulation film. A wiring layer is provided on the fourth insulation film.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: June 27, 2006
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Hiromichi Kumakura, Hirokazu Goto, Takasi Kato
  • Patent number: 7057263
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: June 6, 2006
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott Jeffrey DeBoer, Mark Fischer, J. Brett Rolfson, Annette L. Martin, Ardavan Niroomand
  • Patent number: 7034386
    Abstract: A thin, planar semiconductor device having electrodes on both surfaces is disclosed. This semiconductor device is provided with an IC chip and a wiring layer having one side that is electrically connected to surface electrodes of the IC chip. On this surface of the wiring layer, conductive posts are provided on wiring of the wiring layer, and an insulating resin covers all portions not occupied by the IC chip and conductive posts. The end surfaces of the conductive posts are exposed from the insulating resin and are used as first planar electrodes. In addition, a resist layer is formed on the opposite surface of the wiring layer. Exposed portions are formed in the resist layer to expose desired wiring portions of the wiring layer. These exposed portions are used as second planar electrodes. Stacking semiconductor devices of this construction enables an improvement in the integration of semiconductor integrated circuits.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: April 25, 2006
    Assignee: NEC Corporation
    Inventor: Yoichiro Kurita
  • Patent number: 7034380
    Abstract: The present invention describes a structure having a multilayer stack of thin films, the thin films being a low-dielectric constant material, the thin films having pores, and a method of forming such a structure.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventor: Ebrahim Andideh
  • Patent number: 7023093
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7019385
    Abstract: There are disclosed TFTs having improved reliability. An interlayer dielectric film forming the TFTs is made of a silicon nitride film. Other interlayer dielectric films are also made of silicon nitride. The stresses inside the silicon nitride films forming these interlayer dielectric films are set between ?5×109 and 5×109 dyn/cm2. This can suppress peeling of the interlayer dielectric films and difficulties in forming contact holes. Furthermore, release of hydrogen from the active layer can be suppressed. In this way, highly reliable TFTs can be obtained.
    Type: Grant
    Filed: April 11, 1997
    Date of Patent: March 28, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Satoshi Teramoto
  • Patent number: 7015082
    Abstract: A semiconductor device has selectively applied thin tensile films and thin compressive films, as well as thick tensile films and thick compressive films, to enhance electron and hole mobility in CMOS circuits. Fabrication entails steps of applying each film, and selectively removing each applied film from areas that would not experience performance benefit from the applied stressed film.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: March 21, 2006
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oleg G. Gluschenkov, Huilong Zhu
  • Patent number: 7009281
    Abstract: A system and method of processing a substrate including loading a substrate into a plasma chamber and setting a pressure of the plasma chamber to a pre-determined pressure set point. Several inner surfaces that define a plasma zone are heated to a processing temperature of greater than about 200 degrees C. A process gas is injected into the plasma zone to form a plasma and the substrate is processed.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: March 7, 2006
    Assignee: Lam Corporation
    Inventors: Andrew D. Bailey, III, Tuqiang Ni
  • Patent number: 6975019
    Abstract: A semiconductor memory device having a gate insulation film, comprising a semiconductor substrate; a memory cell array formed on the semiconductor substrate, the memory cell array including a plurality of memory cell transistors, each of which has the gate insulation film; a first interlayer insulation film covered the memory cell array and including deuterium; a silicon nitride layer formed above the first interlayer insulation film; and a second interlayer insulation film formed above the silicon nitride layer, and including deuterium, a density of deuterium in the first interlayer insulation film being higher than that of deuterium in the second interlayer insulation film.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: December 13, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroaki Hazama
  • Patent number: 6974989
    Abstract: According to one exemplary embodiment, a structure comprises a substrate. The structure further comprises at least one memory cell situated on the substrate. The structure further comprises a first interlayer dielectric layer situated over the at least one memory cell and over the substrate. The structure further comprises an oxide cap layer situated on the first interlayer dielectric layer. According to this exemplary embodiment, the structure further comprises an etch stop layer comprising TCS nitride situated on the oxide cap layer, where the etch stop layer blocks UV radiation. The structure further comprises a second interlayer dielectric layer situated on the etch stop layer. The structure may further comprise a trench situated in the second interlayer dielectric layer and the etch stop layer, where the trench is filled with copper. The structure may further comprise an anti-reflective coating layer situated on the second interlayer dielectric layer.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: December 13, 2005
    Assignee: Spansion LLC
    Inventors: Cinti X. Chen, Boon-Yong Ang, Hajime Wada, Sameer S. Haddad, Inkuk Kang
  • Patent number: 6960822
    Abstract: A substrate includes a dielectric structure, an interconnection structure and a solder mask. The interconnection structure interlaces inside the dielectric structure. The solder mask covers the dielectric structure. The material of the solder mask can be the same as that of the dielectric structure contacting the solder mask. The material of the solder mask can be epoxy resin or bismaleimide-triazine.
    Type: Grant
    Filed: August 7, 2003
    Date of Patent: November 1, 2005
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: Yi-Chuan Ding, Yung-I Yeh
  • Patent number: 6958524
    Abstract: A method of manufacturing an insulating layer, including forming a first dielectric layer having a first pore size over a substrate, shrinking the first pore size to a second pore size by a first densification process, forming a second dielectric layer over the first dielectric layer, and increasing an aggregate dielectric constant of the first and second dielectric layers by a second densification process.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: October 25, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lih-Ping Li, Yung-Cheng Lu
  • Patent number: 6946405
    Abstract: An organic polymer film of low dielectric constant and high heating resistance which is applicable as an insulating layer of a semiconductor devices is provided, as well as a manufacturing method for the film and a semiconductor device incorporating the film.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: September 20, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Akio Takahashi, Yuichi Satsu, Yoshiko Nakai, Igor Yefimovich Kardash, Andrei Vladimirovich Pebalk, Sergei Nicolaevich Chvalun, Karen Andranikovich Mailyan, Harukazu Nakai
  • Patent number: 6943431
    Abstract: A semiconductor element is formed over a surface of a semiconductor substrate. A first insulating film is formed over the surface of the semiconductor substrate, the first insulating film covering the semiconductor element. A second insulating film is formed over the first insulating film, the second insulating film having a dielectric constant lower than that of the first insulating film. A first wiring pattern is formed over the second insulating film. A conductive connection member buried in the second and first insulating films electrically interconnects the first wiring pattern and semiconductor element.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: September 13, 2005
    Assignee: Fujitsu Limited
    Inventors: Shun-ichi Fukuyama, Tamotsu Owada, Hiroko Inoue, Ken Sugimoto
  • Patent number: 6936533
    Abstract: A method of fabricating a semiconductor device having a low dielectric constant is disclosed. According to the method, a silicon oxycarbide layer is formed, treated with plasma, and patterned. The silicon oxycarbide layer is formed by a coating method or a CVD method such as a PECVD method. Treating the silicon oxycarbide layer with plasma is performed by supplying at least one gas selected from a group of He, H2, N2O, NH3, N2, O2 and Ar. It is desirable that plasma be applied at the silicon oxycarbide layer in a PECVD device by an in situ method after forming the silicon oxycarbide layer. In a case in which a capping layer is further stacked and patterned, it is desirable to treat with H2-plasma. Even in a case in which an interlayer insulation is formed of the silicon oxycarbide layer and a coating layer of an organic polymer group for a dual damascene process, it is desirable to perform the plasma treatment before forming the coating layer.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: August 30, 2005
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-Hak Kim, Hong-Jae Shin, Soo-Geun Lee, Kyoung-Woo Lee
  • Patent number: 6921964
    Abstract: A semiconductor device includes a non-volatile memory transistor 100. An interlayer dielectric layer 40 is provided on a semiconductor layer 10 where the non-volatile memory transistor 100 is formed. The interlayer dielectric layer 40 is an insulation layer for electrically isolating a conductive layer 30 formed over the semiconductor layer 10 from the non-volatile memory transistor, and includes a layer 42 containing nitride.
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: July 26, 2005
    Assignee: Seiko Epson Corporation
    Inventors: Tomoyuki Furuhata, Kotaro Misawa
  • Patent number: 6913814
    Abstract: A lamination process and structure of a high layout density substrate is disclosed. The lamination process comprises the following steps. First of all, a plurality of laminating layers are individually formed, wherein each laminating layer has a first dielectric layer, a plurality of first vias and a patterned conducting layer. Next, a bottom layer having a second dielectric layer and a plurality of second vias is formed. Then, the laminating layers and the bottom layer are stacked. Finally, the laminating layers and the bottom layer are laminated simultaneously to form a multiplayer substrate at one time.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: July 5, 2005
    Assignee: Via Technologies, Inc.
    Inventors: Kwun Yao Ho, Moriss Kung
  • Patent number: 6911686
    Abstract: There is provided a semiconductor device which is manufactured via steps of forming a capacitor which is obtained by forming in sequence an upper electrode, a dielectric film formed of ferroelectric material or high-dielectric material, and a lower electrode on a semiconductor substrate, then forming an interlayer insulating film on the capacitor, then planarizing a surface of the interlayer insulating film by the CMP polishing, then removing a moisture attached to a surface of the interlayer insulating film or a moisture contained in the interlayer insulating film by applying the plasma annealing using an N2O gas, and then forming a redeposited interlayer film on the interlayer insulating film.
    Type: Grant
    Filed: June 15, 2000
    Date of Patent: June 28, 2005
    Assignee: Fujitsu Limited
    Inventor: Akio Itoh
  • Patent number: 6903445
    Abstract: Disclosed is a semiconductor device having a dielectric film of a stacked structure, comprising a low dielectric constant film containing silicon, oxygen and carbon a modified layer for the low dielectric constant film containing silicon, oxygen, carbon and fluorine and a dielectric protection film formed successively on a semiconductor substrate, the semiconductor device being manufactured by applying a plasma treatment using a fluorine-containing gas to the surface of an organic siloxane film to form a modified layer and then forming a dielectric protection film, which can improve the adhesivity with the dielectric protection film without increasing the dielectric constant of the organic siloxane film to prevent delamination.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: June 7, 2005
    Assignee: Renesas Technology Corp
    Inventors: Daisuke Ryuzaki, Takeshi Furusawa
  • Patent number: 6894369
    Abstract: An ultra high-speed semiconductor device has a high-K dielectric gate insulator layer, wherein spread of impurities to a Si substrate from a gate electrode through the high-K dielectric gate insulator layer, and spread of oxygen and metallic elements from the high-K dielectric gate insulator layer to the Si substrate or the gate electrode are suppressed by arranging the high-K dielectric film sandwiched by nitrogen atomic layers on the Si substrate that is covered by an oxygen atomic layer.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: May 17, 2005
    Assignee: Fujitsu Limited
    Inventors: Kiyoshi Irino, Yusuke Morisaki, Yoshihiro Sugita, Yoshiaki Tanida, Yoshihisa Iba
  • Patent number: 6870225
    Abstract: An improved transistor structure that decreases source/drain (S/D) resistance without increasing gate-to-S/D capacitance, thereby increasing device operation. S/D structures are formed into recesses formed on a semiconductor wafer through a semiconductor layer and a first layer of a buried insulator having at least two layers. A body is formed from the semiconductor layer situated between the recesses, and the body comprises a top body surface and a bottom body surface that define a body thickness. Top portions of the S/D structures are within and abut the body thickness. An improved method for forming the improved transistor structure is also described and comprises: forming recesses through a semiconductor layer and a first layer of a buried insulator so that a body is situated between the recesses; and forming S/D structures into the recesses so that top portions of the S/D structures are within and abut a body thickness.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Mark D. Jaffe
  • Patent number: 6867453
    Abstract: A method of forming a memory device, where a first insulator layer and a charge trapping layer may be formed on a substrate, and at least one of the first insulator layer and charge trapping layer may be patterned to form patterned areas. A second insulation layer and a conductive layer may be formed on the patterned areas, and one or more of the conductive layer, second insulator layer, charge trapping layer and first insulator layer may be patterned to form a string selection line, ground selection line, a plurality of word lines between the string selection and ground selection lines on the substrate, a low voltage gate electrode, and a plurality of insulators of varying thickness. The formed memory device may be a NAND-type non-volatile memory device having a SONOS gate structure, for example.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: March 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoo-cheol Shin, Jeong-Hyuk Choi, Sung-Hoi Hur
  • Patent number: 6864562
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, and even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: March 8, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6858937
    Abstract: A semiconductor device and a method of making it are described. During the formation of the semiconductor device, a hard mask is formed of an etch-resistant material. The mask prevents etchant from etching an area within a dielectric material near a conductive plug. The mask may be formed of a nitride. Conductive material is then deposited withinan etched via and is contacted with the conductive plug.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: February 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Chih-Chen Cho
  • Patent number: 6853054
    Abstract: A high frequency semiconductor device including wiring layers which are formed above a semiconductor substrate and in which transmission lines are formed by combining with a ground plate having a potential fixed at the ground potential, at least one crossing portion in which the wiring layers mutually cross, with insulating interlayers provided therebetween, and at least one separation electrode being selectively provided on one of the insulating interlayers, the at least one separation electrode having a potential fixed at the ground potential. Accordingly, in the high frequency semiconductor device, electrical interference between two crossing wiring layer is prevented and transmission loss is suppressed.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: February 8, 2005
    Assignee: Fujitsu Quantum Devices Limited
    Inventors: Osamu Baba, Yutaka Mimino
  • Patent number: 6849923
    Abstract: Disclosed is a semiconductor device, comprising a first wiring structure formed on a semiconductor substrate and including a first plug and a first wiring formed on the first plug, and a second wiring structure formed on the semiconductor substrate belonging to the wiring layer equal to the first wiring structure and including a second plug and a second wiring formed on the second plug, wherein the upper surface of the first wiring is positioned higher than the upper surface of the second wiring, and the lower surface of the first wiring is positioned flush with or lower than the upper surface of the second wiring. The present invention also provides a method of manufacturing the particular semiconductor device.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: February 1, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shoji Seta, Makoto Sekine, Naofumi Nakamura
  • Patent number: 6833604
    Abstract: An electronic structure having a first conductive layer provided by a dual damascene fabrication process; an etch-stop layer provided by the fabrication process, and electrically coupled with the first conductive layer, the etch-stop layer having a preselected dielectric constant and a predetermined geometry; and a second conductive layer, electrically coupled with the etch-stop layer. The structure can be, for example, a metal-insulator-metal capacitor, an antifuse, and the like.
    Type: Grant
    Filed: October 3, 2001
    Date of Patent: December 21, 2004
    Assignee: Broadcom Corporation
    Inventor: Liming Tsau
  • Publication number: 20040227214
    Abstract: A method, apparatus and system are provided for relieving stress in the via structures of semiconductor structures whenever a linewidth below a via is larger than a ground-rule, including providing a via at least as large as the groundrule, providing a landing pad above the via, providing a via bar in place of a via, slotting the metal linewidth below the via, or providing an oversize via with a sidewall spacer.
    Type: Application
    Filed: May 16, 2003
    Publication date: November 18, 2004
    Inventors: Mark Hoinkis, Matthias Hierlemann, Gerald Friese, Andy Cowley, Dennis J. Warner, Erdem Kaltalioglu
  • Patent number: 6818944
    Abstract: A lower insulation layer, a charge storing layer, and an upper insulation layer are sequentially stacked on a substrate to form a gate insulation layer. A gate conductive layer is formed on the gate insulation layer. The gate electrode is patterned to expose a surface of the gate insulation layer. The charge storing layer is a barrier layer to oxygen diffusion during oxidization for curing etching damages caused by patterning. Thus, a gate bird's beak is prevented in the lower insulation layer. Spacers are formed on sidewalls of the gate electrode. The upper insulation layer is etched using the gate electrode and the spacers as an etch mask. Impurity ions are implanted into the substrate adjacent to the gate electrode to form an impurity region. Since an upper insulation layer is not exposed during the ion implantation process, the upper insulation layer is not damaged.
    Type: Grant
    Filed: March 11, 2003
    Date of Patent: November 16, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chang-Hyun Lee
  • Patent number: 6798043
    Abstract: A film structure includes low-k dielectric films and N—H base source films such as barrier layer films, etch-stop films and hardmask films. Interposed between the low-k dielectric film and adjacent N—H base film is a TEOS oxide film which suppresses the diffusion of amines or other N—H bases from the N—H base source film to the low-k dielectric film. The film structure may be patterned using DUV lithography and a chemically amplified photoresist since there are no base groups present in the low-k dielectric films to neutralize the acid catalysts in the chemically amplified photoresist.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: September 28, 2004
    Assignee: Agere Systems, Inc.
    Inventors: Kurt G. Steiner, Susan Vitkavage, Steve Lytle, Gerald Gibson, Scott Jessen
  • Patent number: 6798057
    Abstract: A thin-stacked ball grid array (BGA) package is created by coupling a semi-conducting die to each of the opposing faces of an interposer having bond pads and circuitry on both faces. Solder balls on either side of each die and/or the interposer provide interconnects for stacking packages and also provide interconnects for module mounting. Each die may be electrically coupled to the interposer using wire bonds, “flip-chip” techniques, or other techniques as appropriate. A redistribution layer may also be formed on the outer surface of a bumped die to create connections between the die circuitry, ball pads and/or wire bonding pads. Because the two die are coupled to each other on opposite faces of the interposer, each package is extremely space-efficient. Individual packages may be stacked together prior to encapsulation or molding to further improve the stability and manufacturability of the stacked package.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Todd O. Bolkin, Chad A. Cobbley
  • Patent number: 6791164
    Abstract: A stereolithographically fabricated package that surrounds at least a portion of a semiconductor die so as to substantially hermetically seal the same. The package may be fabricated from thermoplastic glass, other types of glass, ceramics, or metals. Stereolithographic processes are used to fabricate at least a portion of the substantially hermetic package around the semiconductor dice of assemblies including carrier substrates or leads or around bare or minimally packaged semiconductor dice, including on dice that have yet to be singulated from a wafer. As at least a portion of the substantially hermetic package is stereolithographically fabricated, that portion may include a series of superimposed, contiguous, mutually adhered layers of a suitable hermetic material. The layers can be fabricated by consolidated selected regions of a layer of unconsolidated particulate or powdered material, or by defining an object layer from a sheet of material.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Warren M. Farnworth
  • Patent number: 6787886
    Abstract: A semiconductor device includes a semiconductor substrate which has a major surface and a MOS transistor which has a gate and first and second diffusion regions and which is formed on the major surface. The semiconductor device also includes a laminated structure of a SOG layer, wherein the laminated structure is composed of a base layer and a surface layer formed on the base layer and is formed over the MOS transistor and wherein the surface layer is denser than the base layer.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: September 7, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Kazuhiko Asakawa, Wataru Shimizu
  • Patent number: 6784484
    Abstract: An insulating barrier extending between a first conductive region and a second conductive region is disclosed. The insulating barrier is provided for tunnelling charge carriers from the first to the second region, the insulating barrier comprising a first portion contacting the first region and a second portion contacting the first portion and extending towards the second region, the first portion being substantially thinner than the second portion, the first portion being constructed in a first dielectric and the second portion being constructed in a second dielectric different from the first dielectric, the first dielectric having a lower dielectric constant than the second dielectric.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: August 31, 2004
    Assignee: Interuniversitair Micoroelektronica Centrum (IMEC, vzw)
    Inventors: Pieter Blomme, Bogdan Govoreanu, Maarten Rosmeulen
  • Patent number: 6781216
    Abstract: A semiconductor device includes a semiconductor substrate having a center area where an IC is formed and a peripheral area surrounding the center area, a first wiring pattern formed on the substrate in the center area, a second wiring pattern formed in the peripheral area wherein the second wiring pattern encompasses the center area, a first insulating layer formed over the center and peripheral areas, and a second insulating layer formed on the first insulating layer which is formed on the semiconductor substrate wherein the second insulating layer is not formed over the second wiring pattern.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: August 24, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroki Nakamura
  • Publication number: 20040150067
    Abstract: A semiconductor structure and methods for fabricating are disclosed. In an implementation, a method of fabricating a semiconductor structure includes forming a first semiconductor material substrate with a first dielectric area having a first thickness and a second dielectric area having a second thickness, bonding the first substrate to a second semiconductor substrate, and thinning at least one of the first and second substrates. The invention also pertains to a semiconductor structure. The structure includes a semiconductor substrate having a surface layer of semiconductor material, a first dielectric layer of a first dielectric material buried under the surface layer, and a second dielectric layer buried under the surface layer. In an embodiment, the thickness of the first dielectric layer is different than the thickness of the second dielectric layer.
    Type: Application
    Filed: November 12, 2003
    Publication date: August 5, 2004
    Inventors: Bruno Ghyselen, Oliver Rayssac, Cecile Aulnette, Carlos Mazure
  • Patent number: 6765283
    Abstract: A semiconductor device comprising: an underlayer interconnect layer; an interlayer dielectric film formed with a connection hole reaching the underlayer interconnect layer; and an upper interconnect layer buried in the connection hole, wherein the interlayer dielectric film includes an insulating film containing an impurity for detecting a first etching end point, a first insulating film, an insulating film containing an impurity for detecting a second etching end point and a second insulating film, these four films being laminated in this order.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: July 20, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takeshi Umemoto
  • Patent number: 6756672
    Abstract: A semiconductor device includes a first metallization level, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization level. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer. The first etch stop layer can be formed from silicon carbide. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 29, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn M. Hopper, Suzette K. Pangrle
  • Patent number: 6756635
    Abstract: A silicon oxide film with a film thickness of 5 to 7 nm is formed on a first region, a silicon oxynitride film with a film thickness of 2 to 3 nm, and a nitrogen concentration of 1 to 3 atom % is formed on a second region, and a silicon oxynitride film with a film thickness of 1 to 2 nm, and a nitrogen concentration of 3 to 5 atom % is formed on a third region on a silicon substrate. Then, radical nitriding is applied to the silicon oxide film, and the silicon oxynitride films.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: June 29, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Yuri Yasuda, Naohiko Kimizuka
  • Patent number: 6753568
    Abstract: A memory device includes a memory node (1) to which charge is written through a tunnel barrier configuration (2) from a control electrode (9). The stored charge effects the conductivity of a source/drain path (4) and data is read by monitoring the conductivity of the path. The charge barrier configuration comprises a multiple tunnel barrier configuration, which may comprise alternating layers (16) of polysilicon of 3 nm thickness and layers (15) of Si3N4 of 1 nm thickness, overlying polycrystalline layer of silicon (1) which forms the memory node. Alternative barrier configurations (2) are described, including a Schottky barrier configuration, and conductive nanometer scale conductive islands (30, 36, 44), which act as the memory node, distributed in an electrically insulating matrix.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: June 22, 2004
    Assignee: Hitachi, LTD.
    Inventors: Kazuo Nakazato, Kiyoo Itoh, Hiroshi Mizuta, Toshihiko Sato, Toshikazu Shimada, Haroon Ahmed