Three Or More Insulating Layers Patents (Class 257/637)
  • Patent number: 7629673
    Abstract: A system and method for improved dry etching system. According to an embodiment, the present invention provides a partially completed integrated circuit device. The partially completed integrated circuit device includes a semiconductor substrate having a surface region. The partially completed integrated circuit device also includes an etch stop layer overlying the surface region. The etch stop layer is characterized by a thickness having at least a first thickness portion and a second thickness portion. The second thickness portion includes an etch stop surface region. The partially completed integrated circuit device additionally includes a silicon dioxide material provided within the first thickness portion of the etch stop layer. The partially completed integrated circuit device includes a silicon nitride material provided within the second thickness portion of the etch stop layer.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: December 8, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Hok Min Ho, Ching Tien Ma, Woei Ji Song
  • Patent number: 7629672
    Abstract: A semiconductor device is provided with a semiconductor substrate having circuit elements formed therein, and an insulating protective film formed on the semiconductor substrate. Hydroxyl groups (OH) are attached to a surface of the protective film. As a result, the contact angle between surface of the protective film and a water droplet is less than or equal to 40 degrees.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: December 8, 2009
    Assignees: Toyota Jidosha Kabushiki Kaisha, Kabushiki Kaisha Toshiba
    Inventors: Tetsuya Kanata, Shinichi Umekawa, Koji Terada, Yasushi Takahashi
  • Patent number: 7612453
    Abstract: A semiconductor device includes in an interconnect structure which includes a first interconnect made of a copper-containing metal, a first Cu silicide layer covering the upper portion of the first interconnect, a conductive first plug provided on the upper portion of the Cu silicide layer and connected to the first interconnect, a Cu silicide layer covering the upper portion of the first plug, a first porous MSQ film provided over the side wall from the first interconnect through the first plug and formed to cover the side wall of the first interconnect, the upper portion of the first interconnect, and the side wall of the first plug, and a first SiCN film disposed under the first porous MSQ film to contact with the lower portion of the side wall of the first interconnect and having the greater film density than the first porous MSQ film.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: November 3, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Publication number: 20090256188
    Abstract: A method for manufacturing a semiconductor device which includes: alternately supplying a silicon source and an oxidant to deposit a silicon oxide film on a surface of a semiconductor substrate, wherein the silicon source is supplied under a supply condition where an adsorption amount of molecules of the silicon source on the semiconductor substrate is increased without causing an adsorption saturation of the molecules of the silicon source on the semiconductor substrate, and wherein the oxidant is supplied under a supply condition where impurities remain in the molecules of the silicon source adsorbed on the semiconductor substrate.
    Type: Application
    Filed: March 16, 2009
    Publication date: October 15, 2009
    Inventors: Katsuyuki SEKINE, Kazuhei YOSHINAGA
  • Patent number: 7602040
    Abstract: In order to improve the reliability of a semiconductor device having a fuse formed by a Damascene technique, a barrier insulating film and an inter-layer insulating film are deposited over a fourth-layer wiring and a fuse. The barrier insulating film is an insulating film for preventing the diffusion of Cu and composed of a SiCN film deposited by plasma CVD like the underlying barrier insulating film. The thickness of the barrier insulating film covering the fuse is larger than the thickness of the underlying barrier insulating film so as to improve the moisture resistance of the fuse.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: October 13, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Katsuhiko Hotta, Kyoko Sasahara, Taichi Hayamizu, Yuichi Kawano
  • Patent number: 7569495
    Abstract: Semiconductor devices and methods of manufacturing the same are disclosed. In a disclosed method, a dangling bond in the active region(s) is removed by providing an enough H2 in the PMD liner layer and the interlayer insulating layer directly contacting the active regions, and then gradually diffusing the H2 in a subsequent heat treatment. The method includes forming a gate electrode having a side wall spacer, forming source and drain regions, forming a PMD liner layer by sequentially forming a SiO2:H layer, a SiON:H layer and a SiN:H layer above the gate electrode and the source and drain regions, forming an interlayer insulating layer above the PMD liner layer, and diffusing hydrogen in the PMD liner layer and the interlayer insulating layer to the source and drain region by N2 annealing or Ar annealing.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: August 4, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae-Suk Lee
  • Patent number: 7566950
    Abstract: The present invention provides a method for fabricating a flexible pixel array substrate as follows. First, a release layer is formed on a rigid substrate. Next, on the release layer, a polymer film is formed, the adhesive strength between the rigid substrate and the release layer being higher than that between the release layer and the polymer film. The polymer film is formed by spin coating a polymer monomer and performing a curing process to form a polymer layer. Afterwards, a pixel array is formed on the polymer film. The polymer film with the pixel array formed thereon is separated from the rigid substrate.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: July 28, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Jen Huang, Jung-Fang Chang, Yih-Rong Luo, Yu-Hung Chen
  • Publication number: 20090184401
    Abstract: It is made possible to provide a method for manufacturing a semiconductor device that has a high-quality insulating film in which defects are not easily formed, and experiences less leakage current. A method for manufacturing a semiconductor device, includes: forming an amorphous silicon layer on an insulating layer; introducing oxygen into the amorphous silicon layer; and forming a silicon oxynitride layer by nitriding the amorphous silicon layer having oxygen introduced thereinto.
    Type: Application
    Filed: August 28, 2008
    Publication date: July 23, 2009
    Inventors: Daisuke MATSUSHITA, Yuuichiro Mitani
  • Patent number: 7550822
    Abstract: Methods of forming dual-damascene metal wiring patterns include forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by the steps of forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer. The inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench. A first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: June 23, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Boung Ju Lee, Heon Jong Shin, Hee Sung Kang
  • Publication number: 20090152639
    Abstract: Integrated circuits (ICs) commonly contain pre-metal dielectric (PMD) liners with compressive stress to increase electron and hole mobilities in MOS transistors. The increase is limited by the thickness of the PMD liner. The instant invention is a multi-layered PMD liner in an integrated circuit which has a higher stress than single layer PMD liners. Each layer in the inventive PMD liner is exposed to a nitrogen-containing plasma, and which has a compressive stress higher than 1300 MPa. The PMD liner of the instant invention is composed of 3 to 10 layers. The hydrogen content of the first layer may be increased to improve transistor properties such as flicker noise and Negative Bias Temperature Instabilty (NBTI). An IC containing the inventive PMD liner and a method for forming same are also claimed.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 18, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Haowen Bu, Jerry Che-Jen Hu, Rajesh Khamankar
  • Publication number: 20090140397
    Abstract: A semiconductor device includes capacitors formed on the surface of an interlayer insulating film in connection with capacitive contact plug, wherein capacitors are constituted of base-side lower electrode films having hollow-pillar shapes, metal plugs embedded in hollows of base-side lower electrode films, and top-side lower electrode films having hollow-pillar shapes engaged with the upper portions of the hollows as well as dielectric films and upper electrode films which are sequentially laminated so as to cover the peripheral surfaces of the base-side and top-side lower electrode films and the interior surfaces of the top-side lower electrode films. Side walls are further formed to connect together the adjacent base-side lower electrode films. Thus, it is possible to control the aspect ratio of a capacitor hole for embedding the metal plug from being excessively increased, and it is possible to increase the capacitive electrode area of each capacitor.
    Type: Application
    Filed: December 1, 2008
    Publication date: June 4, 2009
    Applicant: Elpida Memory, Inc.
    Inventor: Mitsunari SUKEKAWA
  • Publication number: 20090091004
    Abstract: A semiconductor device according to one aspect of the present invention includes a semiconductor substrate, an interlayer insulating film formed over the semiconductor substrate, a metal wiring formed over the interlayer insulating film, a protective insulating film formed on the metal wiring, and a resin film formed within a region having one side shorter than a predetermined length on the protective insulating film. The resin film covers all regions in which an interval of the metal wirings is equal to or less than a predetermined interval.
    Type: Application
    Filed: October 8, 2008
    Publication date: April 9, 2009
    Applicant: NEC Electronics Corporation
    Inventor: Hirosada KOGANEI
  • Publication number: 20090085175
    Abstract: A method is provided for forming a semiconductor device containing a buried threshold voltage adjustment layer. The method includes providing a substrate containing an interface layer, depositing a first high-k film on the interface layer, depositing a threshold voltage adjustment layer on the first high-k film, and depositing a second high-k film on the threshold voltage adjustment layer such that the threshold voltage adjustment layer is interposed between the first and second high-k films. The semiconductor device containing a patterned gate stack is described.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Robert D. Clark, Gerrit J. Leusink
  • Patent number: 7485949
    Abstract: A semiconductor device is disclosed. The device includes a substrate, a first porous SiCOH dielectric layer, a second porous SiCOH dielectric layer, and an oxide layer. The first porous SiCOH dielectric layer overlies the substrate. The second porous SiCOH dielectric layer overlies the first porous SiCOH dielectric layer. The oxide layer overlies the second porous SiCOH dielectric layer. The atomic percentage of carbon in the second porous SiCOH dielectric layer is between 16% and 22% of that in the first porous SiCOH dielectric layer.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: February 3, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Chi Ko, Chia-Cheng Chou, Zhen-Cheng Wu, Keng-Chu Lin, Shwang-Ming Jeng
  • Publication number: 20080296742
    Abstract: A semiconductor device having silicon-oxide-nitride-oxide-silicon (SONOS) structure that overcomes spatial limitations which trap charges by not utilizing a flat, planar structure of the ONO film including a charging trap layer, thereby making it possible to improve reliability for data preserving characteristic of a SONOS device.
    Type: Application
    Filed: May 31, 2008
    Publication date: December 4, 2008
    Inventor: Dae-Young Kim
  • Publication number: 20080296743
    Abstract: The present invention relates to a semiconductor device, and a method for fabricating a semiconductor device, which involves an oxide-nitride-oxide stack in a silicon-oxide-nitride-oxide-silicon device. Barrier characteristics of an upper blocking dielectric layer and/or a lower tunneling dielectric layer on upper and lower sides of a charge trapping dielectric layer are improved, so as to maintain holding characteristics of charges trapped in the charge trapping dielectric layer, making it possible to improve reliability of a semiconductor device containing the same.
    Type: Application
    Filed: May 15, 2008
    Publication date: December 4, 2008
    Inventor: Dae Young Kim
  • Publication number: 20080296741
    Abstract: Passivation films including first and second layers (first passivation film) are formed on a GaAs substrate (semiconductor substrate). A SiN film (second passivation film) is formed on the passivation films as a top layer passivation film by a catalytic chemical vapor deposition. The SiN film formed by catalytic chemical vapor deposition has a lower degree of hygroscopicity than that of a conventional SiN film formed by plasma chemical vapor deposition.
    Type: Application
    Filed: October 12, 2007
    Publication date: December 4, 2008
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Hisayuki Saeki, Masahiro Totsuka, Tomoki Oku
  • Publication number: 20080258271
    Abstract: A multi-dielectric film including at least one first dielectric film that is a composite film made of zirconium-hafnium-oxide and at least one second dielectric film that is a metal oxide film made of amorphous metal oxide. Adjacent ones of the dielectric films are made of different materials.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 23, 2008
    Inventors: Jong-Cheol Lee, Sang-Yeol Kang, Ki-Vin Lim, Hoon-Sang Choi, Eun-Ae Chung
  • Publication number: 20080246125
    Abstract: The present invention is a semiconductor device characterized by including a substrate, an insulating film consisting of a fluorine added carbon film formed on the substrate, a barrier layer consisting of a silicon nitride film and a film containing silicon, carbon, and nitride formed on the insulating film, and a hard mask layer having a film containing silicon and oxygen formed on the barrier layer, wherein the barrier layer consists of a silicon nitride film and a film containing silicon, carbon, and nitride that are laminated from the bottom in that order, and functions to prevent the fluorine in the fluorine added carbon film from moving to the hard mask layer.
    Type: Application
    Filed: June 13, 2008
    Publication date: October 9, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoshiyuki Kikuchi
  • Publication number: 20080237753
    Abstract: Methods of forming spacers on sidewalls of features of semiconductor devices and structures thereof are disclosed. A preferred embodiment comprises a semiconductor device including a workpiece and at least one feature disposed over the workpiece. A first spacer is disposed on the sidewalls of the at least one feature, the first spacer comprising a first material. A first liner is disposed over the first spacer and over a portion of the workpiece proximate the first spacer, the first liner comprising the first material. A second spacer is disposed over the first liner, the second spacer comprising a second material. A second liner is disposed over the second spacer, the second liner comprising the first material.
    Type: Application
    Filed: June 4, 2008
    Publication date: October 2, 2008
    Inventor: O Sung Kwon
  • Publication number: 20080224275
    Abstract: A semiconductor device includes bit lines provided in a semiconductor substrate; an ONO film that is provided along the surface of the semiconductor substrate and is made of a tunnel oxide film, a trap layer, and a top oxide film; and an oxide film that is provided on the surface of the semiconductor substrate in the middle between the bit lines and contacts the side face of the ONO film, in which the film thickness of the oxide film is larger than the sum of the thicknesses of the tunnel oxide film and the top oxide film, and smaller than the thickness of the ONO film.
    Type: Application
    Filed: March 14, 2008
    Publication date: September 18, 2008
    Applicant: SPANSION LLC
    Inventors: Yukio Hayakawa, Yukihiro Utsuno
  • Publication number: 20080191321
    Abstract: The present invention provides a semiconductor device and a method of manufacturing the semiconductor device, the semiconductor device including: ONO films that are formed on a semiconductor substrate and include trapping layers; word lines that are formed on the ONO films; and silicon oxide layers that are formed at portions on the semiconductor substrate, the portions being located between the word lines, the silicon oxide layers being located between the trapping layers.
    Type: Application
    Filed: December 21, 2007
    Publication date: August 14, 2008
    Applicant: SPANSION LLC
    Inventors: Kenichi FUJII, Masatomi OKANISHI
  • Patent number: 7402892
    Abstract: A printed circuit board assembly for coupling a plurality of surge protectors to multi-line communication cables includes a multi-layer printed circuit board, to which has been mounted at least two cable connectors, having multiple female sockets for receiving standard surge protector modules. The multi-layer printed circuit board includes traces on multiple layers in a manner that a unique signal path exists between each lead in each connector and a predetermined one of the plurality of sockets for receiving surge protector modules. The traces having a width and a copper content sufficient to carry large current surges from induced power signals, by way of example, from a lightning strike, without breaking down.
    Type: Grant
    Filed: July 7, 1999
    Date of Patent: July 22, 2008
    Assignee: GE Act Communications, Inc.
    Inventors: James A. Glaser, Justin R. Minchey, Kennon B. Porter
  • Patent number: 7402833
    Abstract: A multilayer dielectric tunnel barrier structure and a method for its formation which may be used in non-volatile magnetic memory elements comprises an ALD deposited first nitride junction layer formed from one or more nitride monolayers i.e., AlN, an ALD deposited intermediate oxide junction layer formed from one or more oxide monolayers i.e., AlxOy, disposed on the first nitride junction layer, and an ALD deposited second nitride junction layer formed from one or more nitride monolayers i.e., AlN, disposed on top of the intermediate oxide junction layer. The multilayer tunnel barrier structure is formed by using atomic layer deposition techniques to provide improved tunneling characteristics while also providing anatomically smooth barrier interfaces.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: July 22, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Joel A. Drewes
  • Patent number: 7397073
    Abstract: The present invention provides a semiconducting device including a gate dielectric atop a semiconducting substrate, the semiconducting substrate containing source and drain regions adjacent the gate dielectric; a gate conductor atop the gate dielectric; a conformal dielectric passivation stack positioned on at least the gate conductor sidewalls, the conformal dielectric passivation stack comprising a plurality of conformal dielectric layers, wherein no electrical path extends entirely through the stack; and a contact to the source and drain regions, wherein the discontinuous seam through the conformal dielectric passivation stack substantially eliminates shorting between the contact and the gate conductor. The present invention also provides a method for forming the above-described semiconducting device.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Brett H. Engel, Stephen M. Lucarini, John D. Sylvestri, Yun-Yu Wang
  • Publication number: 20080157291
    Abstract: One or more passivation layers are added to the end of a semiconductor process flow to provide additional protection for devices (e.g., transistors) formed during the process. An additional layer is then formed and/or an anneal is performed to mitigate threshold voltage shifting that may be induced by the passivation layers. Mitigation of threshold voltage shifting increases the life expectancy of devices (e.g., transistors) formed during the process, which in turn mitigates yield loss by facilitating predictable or otherwise desirable behavior of the devices (e.g., transistors).
    Type: Application
    Filed: January 24, 2007
    Publication date: July 3, 2008
    Inventors: Lixia Li, He Lin
  • Publication number: 20080157292
    Abstract: A method for manufacturing a semiconductor device featuring a high-stress dielectric layer is disclosed. The method involves the deposition of a comparatively thick liner layer that exerts increased strain on an underlying gate and active areas, resulting in enhanced carrier mobility through the transistor and heightened transistor performance. The method also involves the amelioration of fabrication problems that might arise from the deposition of a comparatively thick liner layer by forming such layer with at least a partially direction deposition process. Also disclosed are semiconductor devices manufactured in accordance with the disclosed methods.
    Type: Application
    Filed: February 7, 2007
    Publication date: July 3, 2008
    Inventors: Manoj Mehrotra, Stan Ashburn
  • Patent number: 7391094
    Abstract: A semiconductor structure includes a substrate having a surface and being made of a material that provides atypical surface properties to the surface, a bonding layer on the surface of the substrate, and a further layer molecularly bonded to the bonding layer. A method for fabricating such a semiconductor structure includes providing a substrate having a surface and being made of a material that provides atypical surface properties to the surface, providing a bonding layer on the surface of the substrate, smoothing the bonding layer to provide a surface that is capable of molecular bonding, and molecularly bonding a further layer to the bonding layer to form the structure. The atypical surface properties preferably include at least one of a roughness of more than 0.5 nm rms, or a roughness of at least 0.4 nm rms that is difficult to polish, or a chemical composition that is incompatible with molecular bonding.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: June 24, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Olivier Rayssac, Muriel Martinez, Sephorah Bisson, Lionel Portigliatti
  • Patent number: 7385276
    Abstract: The invention is characterized by attaining a lower dielectric constant and including an inorganic dielectric film which is formed on the surface of a substrate and has a cyclic porous structure having a pore ratio of 50% or higher.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: June 10, 2008
    Assignee: Rohm Co., Ltd.
    Inventors: Yoshiaki Oku, Norikazu Nishiyama, Korekazu Ueyama
  • Publication number: 20080122044
    Abstract: A method of forming a dielectric layer in a capacitor adapted for use in a semiconductor device is disclosed. The method includes forming a first ZrO2 layer, forming an interfacial layer using a plasma treatment on the first ZrO2 layer, and forming a second ZrO2 layer on the interfacial layer.
    Type: Application
    Filed: October 2, 2007
    Publication date: May 29, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-yeol KANG, Jong-cheol LEE, Ki-vin IM, Jae-hyun YEO, Hoon-sang CHOI, Eun-ae CHUNG
  • Patent number: 7368782
    Abstract: A non-volatile memory cell having a local silicon nitride layer to control dispersion of hot electrons is disclosed. The dual-bit non-volatile memory cell has a stack of layers including silicon on the surface of a substrate. The stack of layers has at least one first oxide silicon layer and a silicon nitride layer overlying the first oxide silicon layer. An opening is formed in the stack of layers and a gate oxide layer is deposited on the surface of the substrate within the opening. A control gate is formed on the gate oxide layer followed by a second oxide silicon layer overlying the surfaces of the control gate and the stack of layers. A second polysilicon layer is formed overlying the gate oxide layer. Dual split-gates are then formed on the second polysilicon layer.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: May 6, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Ping-Chia Shih, Shou-Wei Hsieh
  • Patent number: 7368804
    Abstract: A method, apparatus and system are provided for relieving stress in the via structures of semiconductor structures whenever a linewidth below a via is larger than a ground-rule, including providing a via at least as large as the groundrule, providing a landing pad above the via, providing a via bar in place of a via, slotting the metal linewidth below the via, or providing an oversize via with a sidewall spacer.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Mark Hoinkis, Matthias Hierlemann, Gerald Friese, Andy Cowley, Dennis J. Warner, Erdem Kaltalioglu
  • Patent number: 7361974
    Abstract: The present invention provides a manufacturing method for an integrated semiconductor structure comprising the steps of: providing a semiconductor substrate having a plurality of gate stacks in a first region and at least one gate stack in a second region; forming a sacrificial plug made of a first material surrounded by an isolation layer between two adjacent gate stacks in the first region; depositing a planarisation layer over said plurality of gate stacks in said first region and said at least one gate stack in said second region; backpolishing said planarisation layer such that the upper surface of said sacrificial plug is exposed; forming a structured hardmask layer made of said first material on said backpolished planarisation layer which structured hardmask layer adjoins said sacrificial plug and has at least one opening in said second region; forming at least one contact hole in said second region under said at least one opening in said second region, said at least one contact hole exposing a substra
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: April 22, 2008
    Assignee: Infineon Technologies AG
    Inventor: Werner Graf
  • Patent number: 7358587
    Abstract: In one aspect, the invention includes a method of forming a material within an opening, comprising: a) forming an etch-stop layer over a substrate, the etch-stop layer having an opening extending therethrough to expose a portion of the underlying substrate and comprising an upper corner at a periphery of the opening, the upper corner having a corner angle with a first degree of sharpness; b) reducing the sharpness of the corner angle to a second degree; c) after reducing the sharpness, forming a layer of material within the opening and over the etch-stop layer; and d) planarizing the material with a method selective for the material relative to the etch-stop layer to remove the material from over the etch-stop layer while leaving the material within the opening.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Guy T. Blalock
  • Publication number: 20080029853
    Abstract: A integrated circuit system including providing an integrated circuit device, forming an undoped insulating layer over the integrated circuit device, forming a thin insulating layer over the undoped insulating layer, forming a doped insulating layer over the thin insulating layer, and forming a contact in the undoped insulating layer, thin insulating layer and the doped insulating layer.
    Type: Application
    Filed: August 2, 2006
    Publication date: February 7, 2008
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Chih Ping Yong, Peter Chew, Chuin Boon Yeap, Hoon Lian Yap, Ranbir Singh, Nace Rossi, Jovin Lim
  • Publication number: 20080017954
    Abstract: A capacitor insulating film composed of a layered film of first- to third-layer hafnium oxide films is formed on a lower electrode of a capacitor. The first- and third-layer hafnium oxide films have a composition ratio of oxygen to hafnium higher than the second-layer hafnium oxide film. Thus, the capacitor insulating film is composed of the first- and third-layer hafnium oxide films having greater barrier height and the second-layer hafnium oxide film having a higher dielectric constant, thereby attaining a capacitor having less leakage current and large capacity.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 24, 2008
    Inventors: Jun Suzuki, Kenji Yoneda, Seiji Matsuyama
  • Patent number: 7315076
    Abstract: A display device is provided in which contact holes, each having a sidewall with an ideal tapered shape, are formed in a structure in which a silicon oxide film, a silicon nitride film and a silicon oxide film are stacked in the named order. The display device includes a first silicon oxide film, a silicon nitride film stacked on the first silicon oxide film, a second silicon oxide film stacked on the silicon nitride film, and a contact hole which extends through at least these three layers. In the display device, letting d2 and d3 denote, respectively, a film thickness of the silicon nitride film and a film thickness of the second silicon oxide film, these films are stacked to satisfy the relationship d2<d3, and the contact hole is formed to have a tapered shape free of constrictions.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: January 1, 2008
    Assignee: Hitachi Displays, Ltd.
    Inventors: Hideshi Nomura, Masahiro Tanaka, Takahiro Ochiai
  • Patent number: 7312400
    Abstract: A multilayer wiring board assembly component comprises: an insulating substrate component (the insulating resin layer 111); a conductive layer 112 formed on one surface of said insulating substrate component 111 in the form of an electrode pattern; an adhesive layer 113 formed on the other surface of said insulating substrate component 111; and a conductive resin composition 115 with which is filled a through hole passing through said insulating substrate component 111, said adhesive layer and said conductive layer in order to make interlayer interconnection. The bore diameter of the conductive layer portion 114b of the through hole 114 is smaller than the bore diameter of the insulating resin layer portion and the adhesive layer portion 114a to establish electrical connection between the conductive resin composition 115 and the conductive layer 112 by the rare surface 112a of the conductive layer 112.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: December 25, 2007
    Assignee: Fujikura Ltd.
    Inventors: Shoji Ito, Osamu Nakao, Reiji Higuchi, Masahiro Okamoto
  • Publication number: 20070246802
    Abstract: A semiconductor device and method thereof. The example method may include forming a semiconductor device, including forming a first layer on a substrate, the first layer including aluminum nitride (AlN), forming a second layer by oxidizing a surface of the first layer and forming a third layer on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes. The example semiconductor device may include a substrate including a first layer, the first layer including aluminum nitride (AlN), a second layer formed by oxidizing a surface of the first layer and a third layer formed on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes.
    Type: Application
    Filed: February 6, 2007
    Publication date: October 25, 2007
    Inventors: Wenxu Xianyu, Young-soo Park, Jun-ho Lee, Hyuk Lim, Hans S. Cho, Huaxiang Yin
  • Patent number: 7285826
    Abstract: Semiconductor structure formed on a substrate and process of forming the semiconductor. The semiconductor includes a plurality of field effect transistors having a first portion of field effect transistors (FETS) and a second portion of field effect transistors. A first stress layer has a first thickness and is configured to impart a first determined stress to the first portion of the plurality of field effect transistors. A second stress layer has a second thickness and is configured to impart a second determined stress to the second portion of the plurality of field effect transistors.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: October 23, 2007
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Oleg G. Gluschenkov, Huilong Zhu
  • Patent number: 7271463
    Abstract: A method of depositing dielectric material into sub-micron spaces and resultant structures is provided. After a trench is etched in the surface of a wafer, a liner layer preferably is deposited into the trench. An anisotropic plasma process is then performed on the trench. A silicon layer may be deposited on the base of the trench during the plasma process, or the plasma can treat the liner layer. The trench is then filled with a spin-on precursor. A densification or reaction process is then applied to convert the spin-on material into an insulator, and oxidizing the silicon rich layer on the base of the trench. The resulting trench has a consistent etch rate from top to bottom of the trench.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: John A. Smythe, III, William Budge
  • Patent number: 7265437
    Abstract: A low k dielectric stack having an effective dielectric constant k, of about 3.0 or less, in which the mechanical properties of the stack are improved by introducing at least one nanolayer into the dielectric stack. The improvement in mechanical properties is achieved without significantly increasing the dielectric constant of the films within the stack and without the need of subjecting the inventive dielectric stack to any post treatment steps. Specifically, the present invention provides a low k dielectric stack that comprises at least one low k dielectric material and at least one nanolayer present within the at least one low k dielectric material.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: September 4, 2007
    Assignees: International Business Machines Corporation, Sony Corporation
    Inventors: Son V. Nguyen, Sarah L. Lane, Eric G. Liniger, Kensaku Ida, Darryl D. Restaino
  • Patent number: 7259432
    Abstract: A semiconductor device includes: a gate electrode formed on a substrate; impurity regions formed in the substrate and to both sides of the gate electrode; a first interlayer insulating film formed to cover the gate electrode; and a second interlayer insulating film formed so as to be aligned in a direction parallel to the principal surface of the substrate and adjacent to the gate electrode with a part of the first interlayer insulating film interposed therebetween. The second interlayer insulating film has a lower relative permeability than the first interlayer insulating film.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: August 21, 2007
    Assignee: Matsushita Electric Industrisl Co., Ltd.
    Inventor: Masaki Tamaru
  • Patent number: 7256421
    Abstract: A structure for preventing deteriorations of a light-emitting device and retaining sufficient capacitor elements' (condenser) required by each pixel is provided. A first passivation film, a second metal layer, a flattening film, a barrier film, and a third metal layer are stacked in this order over a transistor. A side face of a first opening provided with the flattening film is covered by the barrier film, a second opening is formed inside the first opening, and a third metal layer is connected to a semiconductor via the first opening and the second opening. A capacitor element that is formed of a lamination of a semiconductor of a transistor, a gate insulating film, a gate electrode, the first passivation film, and the second metal layer is provided.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: August 14, 2007
    Assignee: Semiconductor Energy Laboratory, Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama, Satoshi Murakami, Hajime Kimura
  • Patent number: 7250364
    Abstract: Semiconductor devices with composite etch stop layers and methods of fabrication thereof. An semiconductor device with a composite etch stop layer includes a substrate having a conductive member, a first etch stop layer on the substrate and the conductive member, a second etch stop layer and a dielectric layer sequentially over the second etch stop layer, having a conductive layer therein down through the dielectric layer, the second etch stop layer and the first etch stop layer to the conductive member.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: July 31, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Cheng Lu, Tien-I Bao, Su-Hong Lin, Syun-Ming Jang
  • Patent number: 7235493
    Abstract: One embodiment of a method for forming a low-k dielectric for a semiconductor device assembly comprises forming a silicon dioxide layer, then forming a patterned masking layer such as silicon nitride on the silicon dioxide. Using the patterned nitride layer as a pattern, the silicon dioxide is etched to form a plurality of hemispherical microcavities in the silicon dioxide. Openings in the patterned nitride are filled, then another layer is formed over the silicon nitride layer using the silicon nitride as a support over the microcavities. An inventive structure resulting from the method is also described.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: June 26, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Shu Qin
  • Patent number: 7227244
    Abstract: A method of depositing and etching dielectric layers having low dielectric constants and etch rates that vary by at least 3:1 for formation of horizontal interconnects. The amount of carbon or hydrogen in the dielectric layer is varied by changes in deposition conditions to provide low k dielectric layers that can replace etch stop layers or conventional dielectric layers in damascene applications. A dual damascene structure having two or more dielectric layers with dielectric constants lower than about 4 can be deposited in a single reactor and then etched to form vertical and horizontal interconnects by varying the concentration of a carbon:oxygen gas such as carbon monoxide. The etch gases for forming vertical interconnects preferably comprises CO and a fluorocarbon, and CO is preferably excluded from etch gases for forming horizontal interconnects.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: June 5, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Claes H. Bjorkman, Melissa Min Yu, Hongquing Shan, David W. Cheung, Wai-Fan Yau, Kuowei Liu, Nasreen Gazala Chapra, Gerald Yin, Farhad K. Moghadam, Judy H. Huang, Dennis Yost, Betty Tang, Yunsang Kim
  • Patent number: 7208423
    Abstract: A resist pattern (5) is formed in a dimension of a limitation of an exposure resolution over a hard mask material film (4) over a work film (3). The material film (4) is processed using the resist pattern (5) as a mask. A hard mask pattern (6) is thereby formed. Thereby a resist pattern (7), over a non-selected region (6b), having an opening (7a) through which a selection region (6a) in the mask pattern is exposed is formed. Only the mask pattern (6a) exposed through the opening (7a) is slimmed by performing a selection etching, the work film (3) is etched by using the mask pattern (6). A work film pattern (8) is thereby formed, which include a wide pattern section (8a) of a dimension width of the limitation of the exposure resolution and a slimmed pattern section (8a) of a dimension that is not more than the limitation of the exposure resolution.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: April 24, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Hashimoto, Soichi Inoue, Kazuhiro Takahata, Kei Yoshikawa
  • Patent number: 7195966
    Abstract: Methods of fabricating semiconductor devices are provided. Transistors are provided on a semiconductor substrate. A first interlayer insulating layer is provided on the transistors. A second interlayer insulating layer is provided on the first interlayer insulating layer. The second interlayer insulating layer defines a trench such that at least a portion of an upper surface of the first interlayer insulating layer is exposed. A resistor pattern is provided in the trench such that the at least a portion of the resistor pattern contacts the exposed portion of the first interlayer insulating layer. Related methods are also provided.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: March 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Taek Park, Jung-Dal Choi, Jung-Young Lee, Hyun-Suk Kim
  • Patent number: 7196422
    Abstract: The present invention describes a structure having a multilayer stack of thin films, the thin films being a low-dielectric constant material, the thin films having pores, and a method of forming such a structure.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: March 27, 2007
    Assignee: Intel Corporation
    Inventor: Ebrahim Andideh