At Least One Layer Forms A Diffusion Barrier Patents (Class 257/751)
  • Patent number: 10204828
    Abstract: A method for forming a semiconductor structure using first and second conductive materials, and having first and second trenches with first and second critical dimensions. The second conductive material exhibits a lower resistivity than the first conductive material at a film thickness corresponding to the second critical dimension and the second conductive material exhibits a higher resistivity than the first conductive material at a film thickness corresponding to the first critical dimension. An initial semiconductor structure has the first trench having the first critical dimension and the second trench having the second critical dimension. The second critical dimension is larger than the first critical dimension. A first conductive structure made from one of the first and second conductive materials is formed in the first trench. A second conductive structure made from another of the first and second conductive materials is formed in the second trench.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: February 12, 2019
    Assignee: International Business Machines Corporation
    Inventors: Ruqiang Bao, Benjamin D. Briggs, Lawrence A. Clevenger, Koichi Motoyama, Cornelius Brown Peethala, Michael Rizzolo, Gen Tsutsui
  • Patent number: 10199451
    Abstract: A lower electrode is made of a TiN-based material and provided at a base of a dielectric film in a DRAM capacitor. The lower electrode includes first TiON films provided at opposite outer sides, the first TiON films having a relatively low oxygen concentration, and a second TiON film provided between the first TiON films, the second TiON film having a relatively high oxygen concentration.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: February 5, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tadahiro Ishizaka, Masaki Koizumi, Masaki Sano, Seokhyoung Hong
  • Patent number: 10199234
    Abstract: A method of forming a metal silicide can include depositing an interface layer on exposed silicon regions of a substrate, where the interface layer includes a silicide forming metal and a non-silicide forming element. The method can include depositing a metal oxide layer over the interface layer, where the metal oxide layer includes a second silicide forming metal. The substrate can be subsequently heated to form the metal silicide beneath the interface layer, using silicon from the exposed silicon regions, the first silicide forming metal of the interface layer and the second silicide forming metal of the metal oxide layer.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: February 5, 2019
    Assignee: ASM IP Holding B.V.
    Inventor: Jacob Huffman Woodruff
  • Patent number: 10192755
    Abstract: The present invention makes it possible to improve the reliability of a semiconductor device. The semiconductor device has, over a semiconductor substrate, a pad electrode formed at the uppermost layer of a plurality of wiring layers, a surface protective film having an opening over the pad electrode, a redistribution line being formed over the surface protective film and having an upper surface and a side surface, a sidewall barrier film comprising an insulating film covering the side surface and exposing the upper surface of the redistribution line, and a cap metallic film covering the upper surface of the redistribution line. Then the upper surface and side surface of the redistribution line are covered with the cap metallic film or the sidewall barrier film and the cap metallic film and the sidewall barrier film have an overlapping section.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: January 29, 2019
    Assignee: Renesas Electronics Corporation
    Inventors: Masahiro Matsumoto, Kazuyoshi Maekawa, Yuichi Kawano
  • Patent number: 10192775
    Abstract: Methods for seam-less gapfill comprising sequentially depositing a film with a seam, reducing the height of the film to remove the seam and repeating until a seam-less film is formed. Some embodiments include optional film doping and film treatment (e.g., ion implantation and annealing).
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: January 29, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pramit Manna, Ludovic Godet, Rui Cheng, Erica Chen, Ziqing Duan, Abhijit Basu Mallick, Srinivas Gandikota
  • Patent number: 10192829
    Abstract: Low-temperature techniques for doping of Cu interconnects based on interfacially-assisted thermal diffusion are provided. In one aspect, a method of forming doped copper interconnects includes the steps of: patterning at least one trench in a dielectric material; forming a barrier layer lining the trench; forming a metal liner on the barrier layer; depositing a seed layer on the metal liner; plating a Cu fill into the trench to form Cu interconnects; removing a portion of a Cu overburden to access an interface between the metal liner and the Cu fill; depositing a dopant layer; and diffusing a dopant(s) from the dopant layer along the interface to form a Cu interconnect doping layer between the metal liner and the Cu fill. Alternatively, the overburden and the barrier layer/metal liner can be completely removed, and the dopant layer deposited selectively on the Cu fill. An interconnect structure is also provided.
    Type: Grant
    Filed: August 7, 2017
    Date of Patent: January 29, 2019
    Assignee: International Business Machines Corporation
    Inventors: Benjamin D. Briggs, Lawrence A. Clevenger, Chao-Kun Hu, Takeshi Nogami, Deepika Priyadarshini, Michael Rizzolo
  • Patent number: 10181441
    Abstract: A through via structure includes a conductive wiring, at least one dielectric layer over the conductive wiring, a via hole in the at least one dielectric layer and exposing the conductive wiring, and a conductive via in the via hole. The conductive via includes a conductive barrier layer in a bottom portion of the via hole, and a conductive layer in a top portion of the via hole.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: January 15, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Cheng-Tai Hsiao, Hsun-Chung Kuang
  • Patent number: 10170424
    Abstract: A method for fabricating an advanced metal conductor structure is described. A pattern in a dielectric layer is provided. The pattern includes a set of features in the dielectric for a set of metal conductor structures. An adhesion promoting layer is created over the patterned dielectric. A ruthenium layer is deposited over the adhesion promoting layer. Using a physical vapor deposition process, a cobalt layer is deposited over the ruthenium layer. A thermal anneal is performed which reflows the cobalt layer to fill the set of features to form a set of metal conductor structures.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Daniel C Edelstein, Chih-Chao Yang
  • Patent number: 10170419
    Abstract: At least one opening having a biconvex shape is formed into a dielectric material layer. A void-free metallization region (interconnect metallic region and/or metallic contact region) is provided to each of the openings. The void-free metallization region has the biconvex shape and exhibits a low wire resistance.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Praneet Adusumilli, Alexander Reznicek, Oscar van der Straten
  • Patent number: 10163793
    Abstract: An integrated circuit device has a substrate including a dielectric layer patterned with a pattern which includes a set of features in the dielectric for a set of metal conductor structures. An adhesion promoting layer is disposed on the set of features in the patterned dielectric. A ruthenium layer is disposed on the adhesion promoting layer. A cobalt layer is disposed on the ruthenium layer filling a first portion of the set of features. The cobalt layer has a u-shaped cross section having a thicker bottom layer than side layers. The cobalt layer is formed using a physical vapor deposition process. A metal layer is disposed on the cobalt layer filling a second, remainder portion of the set of features.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: December 25, 2018
    Assignee: International Business Machines Corporation
    Inventors: Daniel C Edelstein, Chih-Chao Yang
  • Patent number: 10163644
    Abstract: An interconnect structure and a method of forming an interconnect structure are disclosed. The interconnect structure includes a conductive plug over a substrate; a conductive feature over the conductive plug, wherein the conductive feature has a first sidewall, a second sidewall facing the first sidewall, and a bottom surface; and a carbon-containing barrier layer having a first portion along the first sidewall of the conductive feature, a second portion along the second sidewall of the conductive feature, and a third portion along the bottom surface of the conductive feature.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Rueijer Lin, Ya-Lien Lee, Chun-Chieh Lin, Hung-Wen Su
  • Patent number: 10163697
    Abstract: Disclosed is a method of forming back end of the line (BEOL) metal levels with improved dielectric capping layer to metal wire adhesion. The method includes process step(s) designed to address dielectric capping layer to metal wire adhesion, when the metal wire(s) in a given metal level are relatively thick. These process step(s) can include, for example: (1) selective adjustment of the deposition tool used to deposit the dielectric capping layer onto metal wires based on the pattern density of the metal wires in order to ensure that those metal wires actually achieve a temperature between 360° C.-400° C.; and/or (2) deposition of a relatively thin dielectric layer on the dielectric capping layer prior to formation of the next metal level in order to reduce the tensile stress of the metal wire(s) below without causing delamination. Also disclosed is an IC chip formed using the above-described method.
    Type: Grant
    Filed: April 4, 2017
    Date of Patent: December 25, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Felix P. Anderson, Edward C. Cooney, III, Michael S. Dusablon, David C. Mosher
  • Patent number: 10163695
    Abstract: A method is provided, including the following operations: performing a deposition process on a substrate, the deposition process configured to deposit a copper layer in a feature on the substrate, the copper layer being doped with zinc at an atomic percentage less than approximately 30 percent; after depositing the copper layer, annealing the substrate, wherein the annealing is configured to cause migration of the zinc to an interface of the copper layer and an oxide layer of the substrate, the migration of the zinc producing an adhesive barrier at the interface that inhibits electromigration of the copper layer.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: December 25, 2018
    Assignee: Lam Research Corporation
    Inventors: Aniruddha Joi, Yezdi Dordi
  • Patent number: 10157826
    Abstract: A semiconductor device includes a plurality of lower conductive lines overlying a substrate and extending in a first direction, an insulating layer overlying the plurality of lower conductive lines, a plurality of upper conductive lines overlying the insulating layer and the first conductive lines and extending in a second direction crossing the first direction, and a plurality of vias filled with a conductive material formed in the insulating layer. The plurality of upper conductive lines are arranged in the first direction with a first pitch. The plurality of vias includes first vias and second vias. At least one via of the first vias connects at least two lines of the plurality of lower conductive lines and one line of the plurality of upper conductive lines. An average width in the first direction of the first vias is different from an average width in the first direction of the second vias.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kuo-Yen Liu, Boo Yeh, Min-Chang Liang, Jui-Yao Lai, Sai-Hooi Yeong, Ying-Yan Chen, Yen-Ming Chen
  • Patent number: 10153231
    Abstract: An interconnect structure includes a dielectric layer and a conductor embedded in the dielectric layer. A top surface of the conductor is flush with a top surface of the dielectric layer. A cobalt cap layer is deposited on the top surface of the conductor. A nitrogen-doped cobalt layer is disposed on the cobalt cap layer.
    Type: Grant
    Filed: March 22, 2017
    Date of Patent: December 11, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ko-Wei Lin, Hung-Miao Lin, Chun-Ling Lin, Ying-Lien Chen, Huei-Ru Tsai, Sheng-Yi Su
  • Patent number: 10134673
    Abstract: According to some embodiments, a semiconductor device includes a substrate and an insulating film that is provided on the substrate. The device further includes a contact plug which includes a barrier metal layer provided in the insulating film, and a plug material layer provided in the insulating film, the barrier metal layer disposed between the plug material layer and the insulating film. The barrier metal layer includes at least a first layer including a first metal element and nitrogen, and a second layer including a second metal element different from the first metal element, and nitrogen.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: November 20, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Masayuki Kitamura, Atsuko Sakata
  • Patent number: 10128150
    Abstract: Implementations of the present disclosure generally relate to methods for forming thin films in high aspect ratio feature definitions. In one implementation, a method of processing a substrate in a process chamber is provided. The method comprises flowing a boron-containing precursor comprising a ligand into an interior processing volume of a process chamber, flowing a nitrogen-containing precursor comprising the ligand into the interior processing volume and thermally decomposing the boron-containing precursor and the nitrogen-containing precursor in the interior processing volume to deposit a boron nitride layer over at least one or more sidewalls and a bottom surface of a high aspect ratio feature definition formed in and below a surface of a dielectric layer on the substrate.
    Type: Grant
    Filed: March 29, 2016
    Date of Patent: November 13, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pramit Manna, Rui Cheng, Kelvin Chan, Abhijit Basu Mallick
  • Patent number: 10121876
    Abstract: In case of performing annealing at a temperature of 1300° C. or higher, it is not possible to sufficiently suppress escape of nitrogen from a GaN layer even if a cap layer is provided thereon. Thereby, the front surface of the GaN layer is roughened. A semiconductor device manufacturing method of manufacturing a semiconductor device having a nitride semiconductor layer is provided. The semiconductor device manufacturing method includes: implanting, into a predetermined region of the nitride semiconductor layer, n-type or p-type impurities relative to the nitride semiconductor layer; forming, by atomic layer deposition, a first protective film containing a nitride on and in direct contact with at least the predetermined region; and annealing the nitride semiconductor layer and the first protective film at a temperature of 1300° C. or higher.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: November 6, 2018
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Shinya Takashima, Katsunori Ueno, Masaharu Edo
  • Patent number: 10121746
    Abstract: A semiconductor device includes a semiconductor substrate, a first metal layer, an insulation layer, an organic layer, and a second metal layer. The first metal layer, the insulation layer, the organic layer, and the second metal layer are sequentially stacked on a surface of the semiconductor substrate. The first metal layer and the second metal layer are electrically connected to each other through vias formed in the insulation layer and the organic layer. The second metal layer includes an electrode pad at a position corresponding to the positions of the vias. At the interface between the surface of the semiconductor substrate and the first metal layer, a patch portion having a trapezoidal cross-sectional shape is disposed directly below the vias.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: November 6, 2018
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Kazuya Kobayashi, Yuichi Sano, Daisuke Tokuda, Hiroaki Tokuya
  • Patent number: 10115633
    Abstract: A method for producing self-aligned line end vias and the resulting device are provided. Embodiments include trench lines formed in a dielectric layer; each trench line including a pair of self aligned line end vias; and a high-density plasma (HDP) oxide, silicon carbide (SiC) or silicon carbon nitride (SiCNH) formed between each pair of self aligned line end vias, wherein the trench lines and self aligned line end vias are filled with a metal liner and metal.
    Type: Grant
    Filed: July 18, 2017
    Date of Patent: October 30, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: John H. Zhang, Carl J. Radens, Lawrence A. Clevenger
  • Patent number: 10109521
    Abstract: A method of forming hybrid Co and Cu CA/CB contacts and the resulting device are provided. Embodiments include forming a forming a plurality of trenches through an ILD down to a substrate; forming a first metal liner on side and bottom surfaces of each trench and over the ILD; annealing the first metal liner; forming a second metal liner over the first metal liner; forming a first plating layer over a portion of the second metal liner in each trench; forming a second plating layer over the second metal liner and first plating layer in a remaining portion of each trench, the first and second plating layers being different materials; and planarizing the second plating layer and the second and first metal liners down to the ILD.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: October 23, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Qiang Fang, Shafaat Ahmed, Changhong Wu, Zhiguo Sun, Jiehui Shu
  • Patent number: 10109635
    Abstract: A method of forming a semiconductor device includes forming a tungsten layer over a semiconductor substrate in a first chamber, transferring the substrate over which the tungsten layer is formed from the first chamber to a second chamber without exposing into an atmosphere including oxygen, and forming a silicon nitride layer on the tungsten layer in the second chamber.
    Type: Grant
    Filed: May 11, 2017
    Date of Patent: October 23, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Kenichi Kusumoto, Yasutaka Iuchi
  • Patent number: 10090381
    Abstract: A semiconductor device comprises a lower structure on a substrate and including a recess region, first and second barrier layers covering an inner surface of the recess region and a top surface of the lower structure, the inner surface of the recess region including a bottom surface and an inner sidewall connecting the bottom surface to the top surface of the lower structure, and an interlayer dielectric layer provided on the second barrier layer and defining an air gap in the recess region. A first step coverage is obtained by dividing a thickness of the first barrier layer on an inner sidewall of the recess region by a thickness of the first barrier layer on the top surface of the lower structure. A second step coverage is obtained by dividing a thickness of the second barrier layer on the inner sidewall of the recess region by a thickness of the second barrier layer on the top surface of the lower structure. The first step coverage is different from the second step coverage.
    Type: Grant
    Filed: June 21, 2017
    Date of Patent: October 2, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jongmin Baek, Vietha Nguyen, Wookyung You, Sangshin Jang, Byunghee Kim, Kyu-Hee Han
  • Patent number: 10079295
    Abstract: A method for manufacturing an oxide semiconductor layer, comprising forming an oxide semiconductor layer over an insulating layer so as to be along with a curved surface of a projecting structural body of the insulating layer, wherein a length of the projecting structural body in a height direction is larger than a width of the projecting structural body, is provided.
    Type: Grant
    Filed: February 24, 2015
    Date of Patent: September 18, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Atsuo Isobe, Toshinari Sasaki, Shinya Sasagawa, Akihiro Ishizuka
  • Patent number: 10055067
    Abstract: [Object] To provide a sensor device capable of detecting an operation position and a pressing force with high accuracy. [Solution] A sensor device includes a first conductor layer, an electrode substrate, and a plurality of first structural bodies configured to separate the first conductor layer from the electrode substrate. At least one of the first conductor layer and the electrode substrate has flexibility. The electrode substrate includes a plurality of first electrodes and a plurality of second electrodes intersecting the plurality of first electrodes. At least one of the first and second electrodes includes a plurality of sub-electrodes.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: August 21, 2018
    Assignee: Sony Corporation
    Inventors: Shogo Shinkai, Kei Tsukamoto, Tomoko Katsuhara, Hiroto Kawaguchi, Hayato Hasegawa, Fumihiko Iida, Takayuki Tanaka, Tomoaki Suzuki, Taizo Nishimura, Hiroshi Mizuno, Yasuyuki Abe
  • Patent number: 10032698
    Abstract: An interconnection structure and method disclosed for providing an interconnection structure that includes conductive features having reduced topographic variations. The interconnection structure includes a contact pad disposed over a substrate. The contact pad includes a first layer of a first conductive material and a second layer of a second conductive material over the first layer. The first conductive material and the second conductive material are made of substantially the same material and have a first average grain size and a second average grain size that is smaller than the first average grain size. The interconnection structure also includes a passivation layer covering the substrate and the contact pad, and the passivation layer has an opening exposing the contact pad.
    Type: Grant
    Filed: June 5, 2017
    Date of Patent: July 24, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hsiao Yun Lo, Yung-Chi Lin, Yang-Chih Hsueh, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 10008446
    Abstract: A structure for an e-Fuse device in a semiconductor device is described. The e-Fuse device includes an anode region, a cathode region and a fuse element which interconnects the anode and cathode regions in a dielectric material on a first surface of a substrate. The fuse element has a smaller cross section and a higher aspect ratio than the anode and cathode regions. The anode and cathode regions are comprised of a high EM-resistant conductive material. The fuse element is comprised of low EM-resistant conductive material.
    Type: Grant
    Filed: January 17, 2017
    Date of Patent: June 26, 2018
    Assignee: International Business Machines Corporation
    Inventors: Daniel C Edelstein, Chih-Chao Yang
  • Patent number: 10008409
    Abstract: A method for fabricating semiconductor device includes the steps of: forming a dielectric layer on a substrate; forming a stop layer between the dielectric layer and the substrate, wherein the stop layer contacts the substrate directly and the dielectric layer covers the top surface of the stop layer; forming an opening in the dielectric layer, wherein the dielectric layer comprises a damaged layer adjacent to the opening; forming a dielectric protective layer in the opening; forming a metal layer in the opening; removing the damaged layer and the dielectric protective layer to form a void, wherein the void exposes a top surface of the substrate; and forming a cap layer on and covering the dielectric layer, the void, and the metal layer.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: June 26, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chich-Neng Chang, Ya-Jyuan Hung, Bin-Siang Tsai
  • Patent number: 10002814
    Abstract: Some embodiments of the present invention include apparatuses and methods relating to processing and packaging microelectronic devices that reduce stresses on and limit or eliminate crack propagation in the devices.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: June 19, 2018
    Assignee: Intel Corporation
    Inventors: Richard J. Harries, Sudarashan V. Rangaraj, Robert L. Sankman
  • Patent number: 9997450
    Abstract: A wiring substrate includes a first connection terminal and a protective insulation layer. The first connection terminal is electrically connected to a wiring layer by a via wiring and projects upward from an upper surface of an insulation layer. The protective insulation layer is located on the upper surface of the insulation layer to contact and cover a portion of a side surface of the first connection terminal. The first connection terminal includes a lower portion that is continuous with the via wiring and an upper portion that is continuous with the lower portion. The lower portion is smaller in crystal grain size than the upper portion. The lower portion and the upper portion are formed from the same metal material. The side surface of the lower portion has a higher roughness degree than the side surface of the upper portion.
    Type: Grant
    Filed: October 4, 2016
    Date of Patent: June 12, 2018
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Kiyoshi Oi, Tomotake Minemura
  • Patent number: 9997460
    Abstract: An advanced metal conductor structure is described. An integrated circuit device including a substrate with a patterned dielectric layer. The pattern includes a set of features in the dielectric for a set of metal conductor structures. An adhesion promoting layer disposed over the set of features in the patterned dielectric and a ruthenium layer is disposed over the adhesion promoting layer. A cobalt layer is disposed over the ruthenium layer filling the set of features, wherein the cobalt layer is formed using a physical vapor deposition process.
    Type: Grant
    Filed: January 23, 2017
    Date of Patent: June 12, 2018
    Assignee: International Business Machines Corporation
    Inventors: Daniel C Edelstein, Chih-Chao Yang
  • Patent number: 9991203
    Abstract: A semiconductor device and a method of fabricating the same are provided. The semiconductor device includes an interlayer insulating film, a first trench having a first width, and a second trench having a second width, the second trench including an upper portion and a lower portion, the second width being greater than the first width, a first wire substantially filling the first trench and including a first metal, and a second wire substantially filling the second trench and including a lower wire and an upper wire, the lower wire substantially filling a lower portion of the second trench and including the first metal, and the upper wire substantially filling an upper portion of the second trench and including a second metal different from the first metal.
    Type: Grant
    Filed: October 20, 2016
    Date of Patent: June 5, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Rak-Hwan Kim, Byung-Hee Kim, Jin-Nam Kim, Jong-Min Baek, Nae-In Lee, Eun-Ji Jung
  • Patent number: 9991125
    Abstract: A method for forming a semiconductor device structure is provided. The method includes forming a dielectric layer over a semiconductor substrate. The dielectric layer has a first recess. The method includes forming a first conductive material layer over an inner wall and a bottom of the first recess. The first conductive material layer is partially filled in the first recess. The method includes performing a reflow process to convert the first conductive material layer into a first conductive layer. The first conductive layer has a second recess in the first recess. The method includes performing an electroplating process or an electroless plating process to form a second conductive layer over the first conductive layer so as to fill the second recess.
    Type: Grant
    Filed: March 10, 2017
    Date of Patent: June 5, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Rueijer Lin, Chen-Yuan Kao, Chun-Chieh Lin, Huang-Yi Huang
  • Patent number: 9984924
    Abstract: A semiconductor device and method of formation are provided. The semiconductor device comprises a metal plug in a first opening over a substrate, the metal plug is over a silicide layer, and the silicide layer is over a metal oxide layer. The metal oxide layer has an oxygen gradient, such that a percentage of oxygen increases from a top surface of the metal oxide layer to a bottom surface of the metal oxide layer. The metal oxide layer unpins the Fermi level of the interface between the metal plug and the substrate, which is exhibited by a lowered Schottky barrier height (SBH) and increased oxygen vacancy states between the V.B. and the C.B. of the metal oxide layer, which decreases the intrinsic resistivity between the metal plug and the substrate as compared to a semiconductor device that lacks such a metal oxide layer.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: May 29, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Yu-Hung Lin, You-Hua Chou, Sheng-Hsuan Lin, Chih-Wei Chang
  • Patent number: 9984973
    Abstract: Pretreatment is carried out in a first chamber. Then, a mixed gas of titanium tetrachloride and hydrogen is supplied into a second chamber. At this time, conditions are set such that partial pressure of the titanium tetrachloride is higher than 3 Pa. The conditions are set such that the product of the partial pressure of the titanium tetrachloride and supply time is greater than 800 Pa·second. The titanium tetrachloride continues to be supplied into the second chamber to form a titanium film under prescribed temperature conditions in a plasma atmosphere. The temperature conditions are set such that temperature is higher than temperature at which titanium silicide is formed and lower than temperature at which a metal silicide film agglomerates. A titanium nitride film is formed in a third chamber.
    Type: Grant
    Filed: August 2, 2017
    Date of Patent: May 29, 2018
    Assignee: Renesas Electronics Corporation
    Inventor: Kazuhito Ichinose
  • Patent number: 9984975
    Abstract: A method for forming an interconnect structure includes forming a dielectric layer overlying a substrate, forming an opening in the dielectric layer, forming a metal-containing layer overlying the opening in the dielectric layer, forming a conformal protective layer overlying the metal-containing layer, filling a conductive layer in the opening, and performing a thermal process to form a metal oxide layer barrier layer underlying the metal-containing layer.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: May 29, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yu-Hung Lin, Ching-Fu Yeh, Yu-Min Chang, You-Hua Chou, Chih-Wei Chang
  • Patent number: 9972529
    Abstract: A method of fabricating a semiconductor device is disclosed. The method includes forming a first conductive feature over a substrate, forming a dielectric layer over the first conductive feature, forming a via trench in the dielectric layer, forming a first barrier layer in the via trench. Therefore the first barrier has a first portion disposed over the dielectric layer and a second portion disposed over the first conductive feature, applying a thermal treatment to convert the first portion of the barrier layer to a second barrier layer and exposing the first conductive feature in the via trench while a portion of the second barrier layer is disposed over the dielectric layer.
    Type: Grant
    Filed: September 28, 2015
    Date of Patent: May 15, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shin-Yi Yang, Ming-Han Lee, Shau-Lin Shue, Tz-Jun Kuo
  • Patent number: 9972530
    Abstract: Performance of a semiconductor device is improved. In one embodiment, for example, deposition time is increased from 4.6 sec to 6.9 sec. In other words, in one embodiment, thickness of a tantalum nitride film is increased by increasing the deposition time. Specifically, in one embodiment, deposition time is increased such that a tantalum nitride film provided on the bottom of a connection hole to be coupled to a wide interconnection has a thickness within a range from 5 to 10 nm.
    Type: Grant
    Filed: October 20, 2016
    Date of Patent: May 15, 2018
    Assignee: Renesas Electronics Corporation
    Inventors: Kazuyuki Omori, Seiji Muranaka, Kazuyoshi Maekawa
  • Patent number: 9972572
    Abstract: Provided is a semiconductor device including a semiconductor substrate, an electrode provided on a front surface of the semiconductor substrate, where the electrode contains aluminum, a barrier layer provided between the semiconductor substrate and the electrode. Here, the barrier layer includes a first titanium nitride layer, a first titanium layer, a second titanium nitride layer and a second titanium layer in a stated order with the first titanium nitride layer being positioned closest to the semiconductor substrate.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: May 15, 2018
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventor: Yasuyuki Hoshi
  • Patent number: 9970107
    Abstract: A technique for forming a metal film having a high work function while suppressing an increase in EOT is provided. According to the technique, there is provided a method of manufacturing a semiconductor device, including: (a) performing a first cycle a first number of times to form a first metal layer containing a first metal element; and (b) performing a second cycle to form a second metal layer containing a second metal element directly on the first metal layer, wherein a binding energy of second metal element with oxygen is higher than that of the first metal element with oxygen, wherein a cycle including (a) and (b) is performed a second number of times to form a conductive film containing the first metal element and the second metal element on a substrate, the conductive film having: a work function higher than the first metal layer; and a binding energy with oxygen higher than that of the first metal element with oxygen.
    Type: Grant
    Filed: August 9, 2017
    Date of Patent: May 15, 2018
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Arito Ogawa, Atsuro Seino
  • Patent number: 9966348
    Abstract: According to various embodiments an electronic component includes: at least one electrically conductive contact region; a contact pad including a self-segregating composition disposed over the at least one electrically conductive contact region; a segregation suppression structure disposed between the contact pad and the at least one electrically conductive contact region, wherein the segregation suppression structure includes more nucleation inducing topography features than the at least one electrically conductive contact region for perturbing a chemical segregation of the self-segregating composition by crystallographic interfaces of the contact pad defined by the nucleation inducing topography features.
    Type: Grant
    Filed: September 27, 2017
    Date of Patent: May 8, 2018
    Assignee: Infineon Technologies AG
    Inventors: Jens Peter Konrath, Jochen Hilsenbeck
  • Patent number: 9960130
    Abstract: Devices and methods for forming a device are disclosed. The device includes a contact region disposed over a last interconnect level of the device. The device includes a final passivation layer having at least an opening which at least partially exposes a top surface of the contact region and a buffer layer disposed at least over a first exposed portion of the top surface of the contact region. When an electrically conductive interconnection couples to the contact region, the buffer layer absorbs a portion of a force exerted to form an interconnection between the electrically conductive interconnection and the contact region.
    Type: Grant
    Filed: February 6, 2015
    Date of Patent: May 1, 2018
    Assignee: UTAC HEADQUARTERS PTE. LTD.
    Inventors: Rui Huang, Chun Hong Wo, Antonio Jr. Bambalan DiMaano
  • Patent number: 9954168
    Abstract: According to one embodiment, a semiconductor memory device includes a plurality of first interconnects extending in a first direction, a plurality of second interconnects extending in a second direction, a plurality of stacked films respectively provided between the first interconnects and the second interconnects, each of the plurality of stacked films including a variable resistance film, a first inter-layer insulating film provided in a first region between the stacked films, and a second inter-layer insulating film provided in a second region having a wider width than the first region. The second inter-layer insulating film includes a plurality of protrusions configured to support one portion of the plurality of second interconnects on the second region. A protruding length of the protrusions is less than a stacking height of the stacked films.
    Type: Grant
    Filed: December 28, 2016
    Date of Patent: April 24, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Kotaro Noda
  • Patent number: 9941321
    Abstract: A semiconductor device includes a first semiconductor substrate, a second semiconductor substrate, a first main surface side of the first semiconductor substrate and a first main surface side of the second semiconductor substrate being bonded to each other; and a warpage correction layer which is formed on at least one or more selected from the first main surface side of the first semiconductor substrate, the first main surface side of the second semiconductor substrate, a second main surface side of the first semiconductor substrate, and a second main surface side of the second semiconductor substrate.
    Type: Grant
    Filed: September 22, 2016
    Date of Patent: April 10, 2018
    Assignee: Sony Corporation
    Inventors: Hiroyasu Matsugai, Kiyotaka Tabuchi
  • Patent number: 9929042
    Abstract: A semiconductor device, in which an increase in the size of a product can be suppressed and a withstand voltage between wiring layers can be improved, and a manufacturing method thereof are provided. A discontinued part, in which the interface between an interlayer insulating film and a passivation film is discontinued, is formed between a first wiring layer and a second wiring layer that are adjacent to each other with a space therebetween. Both the interlayer insulating film and the passivation film face an air gap in the discontinued part.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: March 27, 2018
    Assignee: Renesas Electronics Corporation
    Inventors: Yoshikazu Tsunemine, Takayuki Igarashi
  • Patent number: 9929092
    Abstract: Techniques relate to treating metallic interconnects of semiconductors. A metallic interconnect is formed in a layer. A metallic cap is disposed on top of the metallic interconnect. Any metallic residue, formed during the disposing of the metallic cap, is converted into insulating material.
    Type: Grant
    Filed: November 11, 2016
    Date of Patent: March 27, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Conal E. Murray, Chih-Chao Yang
  • Patent number: 9925557
    Abstract: The present invention relates to a process for synthesizing a nanostructured composite material and to an implementation device associated with this process. The device (100) comprises a chamber (3) for synthesizing said material comprising a system (13, 13a, 13b) for depositing the matrix on a target surface (15); a system (1, 4, 5, 9) for generating a jet of nanoparticles in a carrier gas comprising an expansion chamber (1) equipped with an outlet orifice (7) for the nanoparticles toward the synthesis chamber (3, 3?) and, in addition, means (21, 22, 23) for adjusting the distance L between the outlet orifice (7) of the expansion chamber and the target surface (15).
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: March 27, 2018
    Assignee: Commissariat a L'Energie Atomique et aux Energies Alternatives
    Inventors: Olivier Sublemontier, Harold Kintz, Yann Leconte
  • Patent number: 9929234
    Abstract: A method for fabricating semiconductor device is disclosed. First, a substrate is provided, and a gate structure is formed on the substrate. Next, a recess is formed adjacent to two sides of the gate structure, and an epitaxial layer is formed in the recess, in which a top surface of the epitaxial layer is lower than a top surface of the substrate. Next, a cap layer is formed on the epitaxial layer, in which a top surface of the cap layer is higher than a top surface of the substrate.
    Type: Grant
    Filed: May 3, 2016
    Date of Patent: March 27, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Kai Hsu, Ssu-I Fu, Yu-Hsiang Hung, Wei-Chi Cheng, Jyh-Shyang Jenq, Tsung-Mu Yang
  • Patent number: 9929094
    Abstract: A device including a first conductive feature and a second conductive feature having a coplanar top surface where the conductive features are disposed a first distance apart at the coplanar top surface. A trench filled with air interposes the first and second conductive features. The trench has a first width at a region coplanar with the top surface of the first and second conductive features. The first width is less than the first distance. A dielectric layer is disposed over the first and second conductive features and the trench; the dielectric layer provides a cap for the trench filled with air.
    Type: Grant
    Filed: February 10, 2017
    Date of Patent: March 27, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yuan Ting, Jyu-Horng Shieh
  • Patent number: 9929120
    Abstract: A semiconductor device includes an opening and a redistribution layer gutter which are formed integrally in a polyimide resin film of a single layer. A redistribution layer is formed in the polyimide resin film of a single layer. A wiring material (silver) including the redistribution layer can be inhibited from migrating.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: March 27, 2018
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Akira Yajima