Planarized To Top Of Insulating Layer Patents (Class 257/752)
  • Patent number: 7538434
    Abstract: A conductive polymer between two metallic layers acts a glue layer, a barrier layer or an activation seed layer. The conductive polymer layer is employed to encapsulate a copper interconnection structure to prevent copper diffusion into any overlying layers and improve adhesive characteristics between the copper and any overlying layers.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: May 26, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsueh Shih, Minghsing Tsai, Hung-Wen Su, Shau-Lin Shue
  • Patent number: 7521779
    Abstract: A multi-layer structure including a base insulating layer and a thin metal film layer (seed layer) is prepared. A plating resist layer is formed to have a prescribed pattern on the upper surface of the thin metal film layer. A metal plating layer is formed on the thin metal film layer exposed by electroplating. Then, the plating resist layer is removed, and the thin metal film layer in the region having the plating resist layer is removed. In this way, a conductive pattern including the thin metal film layer and the metal plating layer is formed. The upper surface of the base insulating layer in the region without the conductive pattern is subjected to roughening treatment. A cover insulating layer is formed on the upper surfaces of the base insulating layer and the conductive pattern. In this way, a printed circuit board is completed.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: April 21, 2009
    Assignee: Nitto Denko Corporation
    Inventors: Tadao Ookawa, Mitsuru Honjo, Takashi Oda
  • Patent number: 7521355
    Abstract: A system and method for providing low dielectric constant insulators in integrated circuits is provided. One aspect of this disclosure relates to a method for forming an integrated circuit insulator. The method includes forming an insulating layer using a first structural material upon a substrate, the first structural material having sufficient mechanical characteristics to support metal during chemical-mechanical polishing (CMP). The method also includes depositing a metallic layer upon the insulating layer, the metallic layer adapted to be used as a wiring channel. The method further includes processing the metallic layer to form the wiring channel, where processing includes CMP. In addition, the method includes removing and replacing at least a portion of the first structural material with a second structural material, the second structural material having insufficient mechanical characteristics to support metal during CMP. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7485962
    Abstract: A substrate support (201) having a flat supporting surface (201a) is prepared, and a semiconductor substrate (1) is fixed to the substrate supporting surface (201) by attaching a wiring forming surface (1a) to the supporting surface (201a) by suction, for example, by vacuum suction. On this occasion, the wiring forming surface (1a) is forcibly flattened by being attached to the supporting surface (201a) by suction, and therefore the wiring forming surface (1a) becomes a reference plane for planarization of a back surface (1b). In this state, planarization processing is performed by mechanically grinding the back surface (1b) to grind away projecting portions (12) of the back surface (1b). Hence, variations in the thickness of the substrate (especially, semiconductor substrate) are made uniform, and high-speed planarization is realized easily and inexpensively without disadvantages such as dishing and without any limitation on a wiring design.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: February 3, 2009
    Assignee: Fujitsu Limited
    Inventors: Kanae Nakagawa, Masataka Mizukoshi, Kazuo Teshirogi
  • Patent number: 7485961
    Abstract: A method is disclosed for reducing the effects of buckling, also referred to as cracking or wrinkling in multilayer heterostructures. The present method involves forming a planarization layer superjacent a semiconductor substrate. A barrier film having a structural integrity is formed superjacent the planarization layer. A second layer is formed superjacent the barrier film. The substrate is heated sufficiently to cause the planarization layer to expand according to a first thermal coefficient of expansion, the second layer to expand according to a second thermal coefficient of expansion, and the structural integrity of the barrier film to be maintained. This results in the barrier film isolating the planarization layer from the second layer, thereby preventing the planarization layer and the second layer from interacting during the heating step.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: February 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Trung T. Doan, Randhir P. S. Thakur, Yauh-Ching Liu
  • Patent number: 7474003
    Abstract: Interconnections are formed over an interlayer insulating film which covers MISFETQ1 formed on the principal surface of a semiconductor substrate, while dummy interconnections are disposed in a region spaced from such interconnections. Dummy interconnections are disposed also in a scribing area. Dummy interconnections are not formed at the peripheries of a bonding pad and a marker. In addition, a gate electrode of a MISFET and a dummy gate interconnection formed of the same layer are disposed. Furthermore, dummy regions are disposed in a shallow trench element-isolation region. After such dummy members are disposed, an insulating film is planarized by the CMP method.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: January 6, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Yasushi Koubuchi, Koichi Nagasawa, Masahiro Moniwa, Youhei Yamada, Toshifumi Takeda
  • Patent number: 7470630
    Abstract: An integrated circuit includes a semiconductor substrate and multiple dielectric layers stacked on the substrate. Multiple interconnect metal lines and dummy metals are embedded in the dielectric layers. At least one of the dummy metals is substantially thinner than the interconnect metal lines. To form this structure, first and second pluralities of trenches are formed in the dielectric layer. At least one of the second plurality of trenches is shallower than the first plurality of trenches. The first and second pluralities of trenches are filled with a conductive layer and then planarized.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: December 30, 2008
    Assignee: Altera Corporation
    Inventors: Shuxian Chen, Yow-Juang (Bill) Liu
  • Patent number: 7456501
    Abstract: A semiconductor structure includes a semiconductor substrate, a recess located in at least one major surface of the substrate, an electrical insulating layer located over the at least one major surface and in the recess, a conductive barrier located over the insulating layer and in the recess and over the at least one major surface, a plating seed layer located over the conductive barrier within the recess only, and a conductive metal in the recess only.
    Type: Grant
    Filed: July 6, 2000
    Date of Patent: November 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: Cyprian Emeka Uzoh, Stephen Edward Greco
  • Patent number: 7446416
    Abstract: A method of forming an electrically conductive via. A first electrically conductive layer is formed, and a second layer is formed on the first layer. The second layer has desired barrier layer properties. A third non electrically conductive layer is formed on the second layer. A via hole is etched through the third layer, thereby exposing a portion of the second layer at the bottom of the via hole. The exposed portion of the second layer at the bottom of the via hole is redistributed so that at least a portion of the second layer is removed from the bottom of the via hole and deposited on lower portions of the sidewalls of the via hole. A fourth electrically conductive layer is formed within the via hole to form the electrically conductive via.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: November 4, 2008
    Assignee: KLA-Tencor Corporation
    Inventors: Robert W. Fiordalice, Faivel Pintchovski
  • Patent number: 7446415
    Abstract: Methods of electroless filling electrically different features such as contact openings to form interconnects and conductive contacts, and semiconductor devices, dies, and systems that incorporate the interconnects and contacts are disclosed. The contact openings are electrically shorted together with a selective material, a nucleation layer is selectively deposited onto the area to be plated (e.g., the base of the opening), and a conductive material is electroless plated onto the nucleation layer to fill the opening. The process achieves substantially simultaneous filling of openings having different surface potentials at an about even rate.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: November 4, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Dale W Collins, Rita J Klein
  • Patent number: 7439623
    Abstract: A first insulating film is provided between a lower interconnect and an upper interconnect. The lower interconnect and the upper interconnect are connected to each other by way of a via formed in the first insulating film. A dummy via or an insulating slit is formed on/in the upper interconnect near the via.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: October 21, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takeshi Harada
  • Patent number: 7439182
    Abstract: A semiconductor and a method of fabricating the same are provided. The method includes: forming an insulation layer on a substrate; forming a trench by selectively etching the insulation layer; electroplating a copper layer in the trench and on the insulation layer under such conditions that a seam is formed at a top middle portion of the trench; and polishing the copper layer to form a copper metal line with the seam.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Ho Hong
  • Publication number: 20080211101
    Abstract: Provided is an interlayer wiring structure of a semiconductor device using carbon nanotubes, and a method of manufacturing the interlayer wiring structure. The interlayer wiring structure is a carbon nanotube bundle that connects a first electrode to a second electrode. The carbon nanotube bundle includes a plurality of carbon nanotubes grown from a catalyst layer that is formed on a first electrode. The carbon nanotube bundle is made in a manner that a portion of the carbon nanotube bundle close to the second electrode has higher density of carbon nanotubes than another portion of the carbon nanotube bundle close to the first electrode. The carbon nanotube bundle is surrounded by an interlayer dielectric. In one embodiment of a method of manufacturing the carbon nanotube interlayer wire, liquid droplets are distributed between the carbon nanotubes to induce surface tension between the carbon nanotubes.
    Type: Application
    Filed: April 18, 2007
    Publication date: September 4, 2008
    Inventors: In-Taek Han, Ha-Jin Kim
  • Patent number: 7414275
    Abstract: Multilevel metallization layouts for an integrated circuit chip including transistors having first, second and third elements to which metallization layouts connect. The layouts minimize current limiting mechanism including electromigration by positioning the connection for the second contact vertically from the chip, overlapping the planes and fingers of the metallization layouts to the first and second elements and forming a pyramid or staircase of multilevel metallization layers to smooth diagonal current flow.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: August 19, 2008
    Assignee: International Business Machines Corporation
    Inventors: David Ross Greenberg, John Joseph Pekarik, Jorg Scholvin
  • Patent number: 7414314
    Abstract: A semiconductor device has a semiconductor substrate, a first insulating film formed on a surface of the semiconductor substrate, a first recess formed in the first insulating film, a first barrier film formed on an inner surface of the first insulating film except a top peripheral region of the first trench, a first conductive film formed in the first trench, and a covering film formed on an upper surface and a top peripheral region of the first conductive film and an upper surface of the first barrier film. The first conductive film includes copper.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: August 19, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Kazuhide Abe
  • Publication number: 20080179748
    Abstract: Methods of fabricating a capped interconnect for a microelectronic device which includes a sealing feature for any gaps between a capping layer and an interconnect and structures formed therefrom. The sealing features improve encapsulation of the interconnect, which substantially reduces or prevents electromigration and/or diffusion of conductive material from the capped interconnect.
    Type: Application
    Filed: March 28, 2008
    Publication date: July 31, 2008
    Inventors: Jun He, Kevin J. Fischer, Ying Zhou, Peter K. Moon
  • Publication number: 20080174022
    Abstract: A semiconductor device. A dielectric layer is disposed on a substrate having a first region and a second region. A first metal layer and a second layer are embedded in the dielectric layer in the first and second regions, respectively, wherein the first and second metal layers are located at the same level and have different thicknesses. A method for fabricating a semiconductor device is also disclosed.
    Type: Application
    Filed: January 22, 2007
    Publication date: July 24, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsien-Wei Chen, Shin-Puu Jeng
  • Patent number: 7397122
    Abstract: A metal wiring for a semiconductor device and a method for forming the same are provided. The metal wiring includes a first insulating layer and a second insulating layer; an interlayer insulating film formed between the first and second insulating layers, wherein the interlayer insulating film is provided with holes having a designated shape; a barrier metal layer, a copper seed layer, and a copper layer sequentially formed in the holes of the interlayer insulating film; and a capping layer formed between the interlayer insulating film and the second insulating layer. The capping layer formed between the interlayer insulating film and the second insulating layer may be made of a negatively charged insulating material, thereby improving electro-migration characteristics at an interface between the capping layer and the copper layers.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: July 8, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Won Han
  • Publication number: 20080150142
    Abstract: A contact plug is formed in a contact hole which is formed in an interlayer insulation film and then a barrier metal layer and a main wiring layer, which form a wiring layer in all, are formed on both of the interlayer insulation film and the contact plug. After a surface of the main wiring layer is flattened by means of CMP, an antireflection film is formed on the main wiring layer. After that, a resist pattern is formed on the antireflection film to pattern the wiring layer. Thus, it is possible to pattern the wiring layer finely without influence of unevenness caused by the contact plug located under the wiring layer.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 26, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Masayoshi Saito
  • Publication number: 20080150141
    Abstract: The present invention provides a manufacturing method for an integrated semiconductor structure comprising the steps of: providing a semiconductor substrate with a main surface; forming a wiring metal layer above said main surface; forming a doped getter layer on said wiring metal layer; and forming at least one additional wiring metal layer on said doped getter layer. The present invention also provides a corresponding integrated semiconductor structure and a semiconductor memory device.
    Type: Application
    Filed: January 11, 2007
    Publication date: June 26, 2008
    Inventors: Werner Graf, Andreas Thies, Marco Lepper, Momtchil Stavrev
  • Patent number: 7371679
    Abstract: A method of forming a metal line in a semiconductor device including forming an inter-metal dielectric (IMD) layer on the semiconductor substrate including the predetermined pattern, planarizing the IMD layer through a first CMP process, and patterning a via hole on the planarized substrate. The method further includes depositing a barrier metal layer in the via hole, filling a refractory metal in an upper part of the barrier metal layer, planarizing the substrate filled with the refractory metal by performing a second CMP process, forming a refractory metal oxide layer by oxidizing a residual refractory metal region created by the second CMP process, and forming a refractory metal plug by removing the refractory metal oxide layer through a third CMP process.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung-Ho Jang
  • Patent number: 7348676
    Abstract: After an insulation layer is formed on a substrate, a contact hole is formed through the insulation layer. A recessed plug is formed to partially fill up the contact hole. The recessed plug has a height substantially smaller than a depth of the contact hole. A metal wiring structure is formed on the recessed plug and on the insulation layer. A lower portion of the metal wiring structure, formed within the contact hole, prevents damage to the recessed plug during an etching process for forming the metal wiring structure. Therefore, the recessed plug may be formed without damage thereof even if an alignment error occurs between an etching mask and the recessed plug during metal wiring structure formation.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seong-Soo Lee
  • Patent number: 7338907
    Abstract: A dry etch process is described for selectively etching silicon nitride from conductive oxide material for use in a semiconductor fabrication process. Adding an oxidant in the etch gas mixture could increase the etch rate for the silicon nitride while reducing the etch rate for the conductive oxide, resulting in improving etch selectivity. The disclosed selective etch process is well suited for ferroelectric memory device fabrication using conductive oxide/ferroelectric interface having silicon nitride as the encapsulated material for the ferroelectric.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: March 4, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Tingkai Li, Sheng Teng Hsu, Bruce D. Ulrich, Mark A. Burgholzer, Ray A. Hill
  • Patent number: 7332813
    Abstract: A semiconductor device with a metallic region can have a resistance to stress migration and increased reliability. A lower layer wiring made from a barrier metal film (102) and a copper containing metallic film (103) can be formed within an insulating film (101). An interlayer insulating film (104 or 104a and 104b) can be formed thereon. An upper layer wiring made from a barrier metal film (106 or 106a and 106b) and a copper containing metallic film (111 or 111a and 111b) is formed within the interlayer insulating film (104 or 104a and 104b). A silver containing metallic protective film (108a and 108b) can be formed on surfaces of the lower layer wiring and upper layer wiring.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: February 19, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Kazuyoshi Ueno
  • Patent number: 7327034
    Abstract: A planarization method includes providing a metal-containing surface (preferably, a Group VIII metal-containing surface, and more preferably a platinum-containing surface) and positioning it for contact with a polishing surface in the presence of a planarization composition that includes a halogen and a halide salt.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 7315082
    Abstract: A process for forming vertical contacts in the manufacture of integrated circuits, and devices so manufactured, is disclosed. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes the steps of: forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The above process may be repeated one or more times during the formation of multilevel metal integrated circuits.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: January 1, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Charles H. Dennison, Trung T. Doan
  • Publication number: 20070284745
    Abstract: A first insulating substrate is formed on a heat sink, and a semiconductor element is formed thereon. An insulating resin casing is formed so as to cover the first insulating substrate and the semiconductor element. A second insulating substrate is mounted inside the insulating resin casing apart from the first insulating substrate. On the second insulating substrate, a resistance element that functions as a gate balance resistance is fixed by soldering. The second insulating substrate on which the resistance element was thus mounted was made apart from the first insulating substrate on which the semiconductor element was mounted, and was mounted on the side of the insulating resin casing.
    Type: Application
    Filed: October 3, 2006
    Publication date: December 13, 2007
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Masuo KOGA, Tetsuo Mizoshiri, Yukimasa Hayashida
  • Patent number: 7294570
    Abstract: A method of making a contact plug and a metallization line structure is disclosed in which a substrate is provided with at least one contact hole within an insulation layer situated on a semiconductor substrate of a semiconductor wafer. A first metal layer is deposited upon the semiconductor wafer within the contact hole. A planarizing step isolates the first metal layer within the insulation layer in the form of a contact plug within the contact hole. A second metal layer is then deposited upon the semiconductor wafer over and upon the contact plug. Metallization lines are patterned and etched from the second metal layer. The contact hole may also be lined with a refractory metal nitride layer, with a refractory metal silicide interface being formed at the bottom of the contact hole as an interface between the contact plug and a silicon layer on the semiconductor substrate.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: November 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Richard L. Elliott, Guy F. Hudson
  • Patent number: 7291920
    Abstract: In one aspect, the invention includes a method of forming a roughened layer of platinum, comprising: a) providing a substrate within a reaction chamber; b) flowing an oxidizing gas into the reaction chamber; c) flowing a platinum precursor into the reaction chamber and depositing platinum from the platinum precursor over the substrate in the presence of the oxidizing gas; and d) maintaining a temperature within the reaction chamber at from about 0° C. to less than 300° C. during the depositing. In another aspect, the invention includes a platinum-containing material, comprising: a) a substrate; and b) a roughened platinum layer over the substrate, the roughened platinum layer having a continuous surface characterized by columnar pedestals having heights greater than or equal to about one-third of a total thickness of the platinum layer.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: November 6, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7259432
    Abstract: A semiconductor device includes: a gate electrode formed on a substrate; impurity regions formed in the substrate and to both sides of the gate electrode; a first interlayer insulating film formed to cover the gate electrode; and a second interlayer insulating film formed so as to be aligned in a direction parallel to the principal surface of the substrate and adjacent to the gate electrode with a part of the first interlayer insulating film interposed therebetween. The second interlayer insulating film has a lower relative permeability than the first interlayer insulating film.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: August 21, 2007
    Assignee: Matsushita Electric Industrisl Co., Ltd.
    Inventor: Masaki Tamaru
  • Patent number: 7250682
    Abstract: Interconnections are formed over an interlayer insulating film which covers MISFETQ1 formed on the principal surface of a semiconductor substrate, while dummy interconnections are disposed in a region spaced from such interconnections. Dummy interconnections are disposed also in a scribing area. Dummy interconnections are not formed at the peripheries of a bonding pad and a marker. In addition, a gate electrode of a MISFET and a dummy gate interconnection formed of the same layer are disposed. Furthermore, dummy regions are disposed in a shallow trench element-isolation region. After such dummy members are disposed, an insulating film is planarized by the CMP method.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: July 31, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Yasushi Koubuchi, Koichi Nagasawa, Masahiro Moniwa, Youhei Yamada, Toshifumi Takeda
  • Patent number: 7235882
    Abstract: In a semiconductor device, a wiring pattern groove is formed in a surface portion of a silicon oxide film provided above a semiconductor substrate. A wiring layer is buried into the wiring pattern groove with a barrier metal film interposed therebetween. The barrier metal film is selectively removed from each sidewall portion of the wiring pattern groove. In other words, the barrier metal film is left only on the bottom of the wiring pattern groove. Thus, a damascene wiring layer having a hollow section whose dielectric constant is low between each sidewall of the wiring pattern groove and each side of the wiring layer can be formed in the semiconductor device.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: June 26, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Nitta, Yoshiaki Fukuzumi, Yusuke Kohyama
  • Patent number: 7233059
    Abstract: The invention relates to a vertical arrangement of at least two semiconductor components which are electrically insulated from one another by at least one passivation layer. The invention likewise relates to a method for fabricating such a semiconductor arrangement. A semiconductor arrangement is specified in which, inter alia, the risk of cracking at the metallization edges, for example, caused by thermomechanical loading, is reduced and the fabrication-dictated high content of radical hydrogen is minimized. Furthermore, a method for fabricating such a semiconductor arrangement is specified.
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: June 19, 2007
    Assignee: Infineon Technologies AG
    Inventors: Nikolaus Bott, Oliver Haeberlen, Manfred Kotek, Joost Larik, Josef Maerz, Ralf Otremba
  • Patent number: 7230335
    Abstract: The present invention provides inspection methods and structures for facilitating the visualization and/or detection of specific chip structures. Optical or fluorescent labeling techniques are used to “stain” a specific chip structure for easier detection of the structure. Also, a temporary/sacrificial illuminating (e.g., fluorescent) film is added to the semiconductor process to facilitate the detection of a specific chip structure. Further, a specific chip structure is doped with a fluorescent material during the semiconductor process. A method of the present invention comprises: providing a first and a second material; processing the first material to form a portion of a semiconductor structure; and detecting a condition of the second material to determine whether processing of the first material is complete.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: June 12, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jerome L. Cann, Steven J. Holmes, Leendert M. Huisman, Cherie R. Kagan, Leah M. Pastel, Paul W. Pastel, James R. Salimeno, III, David P. Vallett
  • Patent number: 7227265
    Abstract: Interconnect structures with copper conductors being at least substantially free of internal seams or voids are obtained employing an electroplating copper bath containing dissolved cupric salt wherein the concentration of the salt is at least about 0.4 molar and up to about 0.5 molar concentration of an acid. Also provided are copper damascene structures having an aspect ratio of greater than about 3 and a width of less than about 0.275 ?m and via openings filled with electroplated copper than is substantially free of internal seams or voids.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: June 5, 2007
    Assignee: International Business Machines Corporation
    Inventors: Panayotis C. Andricacos, Steven H. Boettcher, Dean S. Chung, Hariklia Deligianni, James E. Fluegel, Wilma Jean Horkans, Keith T. Kwietniak, Peter S. Locke, Christopher C. Parks, Soon-Cheon Seo, Andrew H. Simon, Erick G. Walton
  • Patent number: 7193323
    Abstract: A composite material comprising a layer containing copper, and an electrodeposited CoWP film on the copper layer. The CoWP film contains from 11 atom percent to 25 atom percent phosphorus and has a thickness from 5 nm to 200 nm. The invention is also directed to a method of making an interconnect structure comprising: providing a trench or via within a dielectric material, and a conducting metal containing copper within the trench or the via; and forming a CoWP film by electrodeposition on the copper layer. The CoWP film contains from 10 atom percent to 25 atom percent phosphorus and has a thickness from 5 nm to 200 nm. The invention is also directed to a interconnect structure comprising a dielectric layer in contact with a metal layer; an electrodeposited CoWP film on the metal layer, and a copper layer on the CoWP film.
    Type: Grant
    Filed: November 18, 2003
    Date of Patent: March 20, 2007
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Stefanie R. Chiras, Emanuel Cooper, Hariklia Deligianni, Andrew J. Kellock, Judith M. Rubino, Roger Y. Tsai
  • Patent number: 7187085
    Abstract: A method (and structure) of forming an interconnect on a semiconductor substrate, includes forming a relatively narrow first structure in a dielectric formed on a semiconductor substrate, forming a relatively wider second structure in the dielectric formed on the semiconductor substrate, forming a liner in the first and second structures such that the first structure is substantially filled and the second structure is substantially unfilled, and forming a metallization over the liner to completely fill the second structure.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: March 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Larry A. Nesbit
  • Patent number: 7180188
    Abstract: A contact structure includes a lower conductive pattern disposed on a predetermined region of a semiconductor substrate. The lower conductive layer has a concave region at a predetermined region of a top surface thereof. An embedding conductive layer fills the concave region. The top surface of the embedding conductive layer is placed at least as high as the height of the flat top surface of the lower conductive pattern. A mold layer is disposed to cover the semiconductor substrate, the lower conductive pattern and the embedding conductive layer. An upper conductive pattern is arranged in an intaglio pattern. The intaglio pattern is disposed in the mold layer to expose a predetermined region of the embedding conductive layer.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics, Oo., ltd.
    Inventors: Jung-Hwan Oh, Byung-Lyul Park, Hong-Seong Son
  • Patent number: 7176571
    Abstract: A method for forming a composite barrier layer that also functions as an etch stop in a damascene process is disclosed. A SiC layer is deposited on a substrate in a CVD process chamber followed by deposition of a silicon nitride layer to complete the composite barrier layer. The SiC layer exhibits excellent adhesion to a copper layer in the substrate and is formed by a method that avoids reactive Si+4 species and thereby prevents CuSiX formation. The silicon nitride layer thickness is sufficient to provide superior barrier capability to metal ions but is kept as thin as possible to minimize the dielectric constant of the composite barrier layer. The composite barrier layer provides excellent resistance to copper oxidation during oxygen ashing steps and enables a copper layer to be fabricated with a lower leakage current than when a conventional silicon nitride barrier layer is employed.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: February 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Lung Cheng, Ying-Lung Wang
  • Patent number: 7164206
    Abstract: The invention relates to a microelectronic device and a structure therein that includes a diffusion barrier layer having a first thickness and a first dielectric constant. An etch stop layer is disposed above and on the diffusion barrier layer. The etch stop layer has a second thickness and a second dielectric constant.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: January 16, 2007
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Jihperng Leu, Lawrence Wong, Andrew Ott, Patrick Marrow
  • Patent number: 7161246
    Abstract: Integrated circuit interconnect alloys having copper, silver or gold as the major constituent element. The resulting reduction in melting temperature allows for improved coverage of high aspect ratio features with reduced deposition pressure. The alloys are used to fabricate interconnects in integrated circuits, such as memory devices. The interconnects can be high aspect ratio features formed using a dual damascene process. The integrated circuits having the interconnects are applicable to semiconductor dies, devices, modules and systems.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 9, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7146722
    Abstract: A bond pad structure comprising two bond pads, methods of forming the bond pad structure, an integrated circuit die incorporating the bond pad structure, and methods of using the bond pad structure are provided. Each of the bond pads comprise stacked metal layers, at least one lower metal layer and an upper metal layer. When the two pads are connected by a conductive material, they function as a single pad. The lower metal layer of one of the bond pads forms an extension that extends beneath the upper metal layer of the other of the bond pad. The lower metal extension functions to block the etching of a dielectric layer that is put down over the upper metal layers and the underlying substrate, for example, during a passivation etch to form the bond pad opening, to protect the substrate from damage.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: December 12, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Guy Perry
  • Patent number: 7145244
    Abstract: A method for reducing the topography from CMP of metal layers during the semiconductor manufacturing process is described. Small amounts of solute are introduced into the conductive metal layer before polishing, resulting in a material with electrical conductivity and electromigration properties that are very similar or superior to that of the pure metal, while having hardness that is more closely matched to that of the surrounding oxide dielectric layers. This may allow for better control of the CMP process, with less dishing and oxide erosion a result. A secondary benefit of this invention may be the elimination of superficial damage and embedded particles in the conductive layers caused by the abrasive particles in the slurries.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventor: Andrew Yeoh
  • Patent number: 7145238
    Abstract: A semiconductor package and substrate having multi-level plated vias provide a high density blind via solution at low incremental cost. Via are half-plated atop a circuit pattern and then a second via half is added to complete the via after isolation of elements of the circuit pattern. Successive resist pattern applications and etching are used to form a via tier atop a circuit pattern that is connected by a thin plane of metal. After the tier is deposited, the thin metal plane is etched to isolate the circuit pattern elements. Dielectric is then deposited and the top half of the via is deposited over the tier. The tier may have a larger or smaller diameter with respect to the other half of the via, so that the via halves may be properly registered. Tin plating may also be used to control the etching process to provide etching control.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: December 5, 2006
    Assignee: Amkor Technology, Inc.
    Inventors: Ronald Patrick Huemoeller, David Jon Hiner, Sukianto Rusli
  • Patent number: 7145245
    Abstract: The present invention discloses a method including providing a substrate; forming a dielectric over the substrate, the dielectric having a k value of about 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; forming an opening in the dielectric; and forming a conductor in the opening. The present invention further discloses a structure including a substrate; a dielectric located over the substrate, the dielectric having a k value of 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; an opening located in the dielectric; and a conductor located in the opening.
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Lee Rockford, Jihperng Leu
  • Patent number: 7145239
    Abstract: Trace configurations for carrying high-speed digital differential signals provide for reduced conduction loss and improved signal integrity. In one embodiment, a circuit board has a first set of conductive traces disposed on non-conductive material, and a second set of conductive traces parallel to the first set and disposed within the conductive material. The second set is separated from the first set by non-conductive material. Corresponding traces of the first and second sets may be in a stacked configuration. In other embodiments, conductive material may be provided between corresponding traces of the first and second sets resulting in an “I-shaped” or “U-shaped” cross-section. In yet other embodiments, the trace configurations have “T-shaped” and “L-shaped” cross-sections.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventors: Yuan-Liang Li, Jiangqi He, Dong Zhong, David G. Figueroa
  • Patent number: 7122898
    Abstract: The present invention provides an electrical programmable metal resistor and a method of fabricating the same in which electromigration stress is used to create voids in the structure that increase the electrical resistance of the resistor. Specifically, a semiconductor structure is provided that includes an interconnect structure comprising at least one dielectric layer, wherein said at least one dielectric layer comprises at least two conductive regions and an overlying interconnect region embedded therein, said at least two conductive regions are in contact with said overlying interconnect region by at least two contacts and at least said interconnect region is separated from said at least one dielectric layer by a diffusion barrier, wherein voids are present in at least the interconnect region which increase the electrical resistance of the interconnect region.
    Type: Grant
    Filed: May 9, 2005
    Date of Patent: October 17, 2006
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Lawrence A. Clevenger, James J. Demarest, Louis C. Hsu, Carl Radens
  • Patent number: 7115991
    Abstract: A barrier layer for a semiconductor device is provided. The semiconductor device comprises a dielectric layer, an electrically conductive copper containing layer, and a barrier layer separating the dielectric layer from the copper containing layer. The barrier layer comprises a silicon oxide layer and a dopant, where the dopant is a divalent ion, which dopes the silicon oxide layer adjacent to the copper containing layer. A method of forming a barrier layer is provided. A silicon oxide layer with a surface is provided. The surface of the silicon oxide layer is doped with a divalent ion to form a barrier layer extending to the surface of the silicon oxide layer. An electrically conductive copper containing layer is formed on the surface of the barrier layer, where the barrier layer prevents diffusion of copper into the substrate.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: October 3, 2006
    Assignee: LSI Logic Corporation
    Inventors: Vladimir Zubkov, Sheldon Aronowitz
  • Patent number: 7105925
    Abstract: Method and structure for optimizing and controlling chemical mechanical planarization are disclosed. Embodiments of the invention include planarization techniques to make nonplanar surfaces comprising alternating metal and intermetal layers. Relative protrusion dimensions and uniformity of various layers may be accurately controlled using the disclosed techniques.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: September 12, 2006
    Assignee: Intel Corporation
    Inventors: James A. Boardman, Sarah E. Kim, Paul B. Fischer, Mauro J. Kobrinsky
  • Patent number: RE39413
    Abstract: The present invention is a semiconductor wafer that enhances polish-stop endpointing in chemical-mechanical planarization processes. The semiconductor wafer has a substrate with a device feature formed on the substrate, a stratum of low friction material positioned over the substrate, and an upper layer deposited on the low friction material stratum. The low friction stratum has a polish-stop surface positioned at a level substantially proximate to a desired endpoint of the chemical-mechanical planarization process. The upper layer, which is made from either a conductive material or an insulative material, has a higher polishing rate than that of the low friction stratum. In operation, the low friction stratum resists chemical-mechanical planarization with either hard or soft polishing pads to stop the planarization process at the desired endpoint.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: November 28, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Guy F. Hudson, Renee Zahorik, Russell C. Zahorik