Planarized To Top Of Insulating Layer Patents (Class 257/752)
  • Patent number: 6469390
    Abstract: It has been discovered that for semiconductor devices such as MOSFETs, there is significant capacitive coupling in the front-end structure, i.e., the structure from and including the device substrate up to the first metal interconnect level. The invention therefore provides a device comprising a silicon substrate, an isolation structure in the substrate (e.g., shallow trench isolation), an active device structure (e.g., a transistor structure), a dielectric layer over the active device structure, and a metal interconnect layer over the dielectric layer (metal-1 level). At least one of the dielectric components of the front-end structure comprise a material exhibiting a dielectric constant less than 3.5. This relatively low dielectric constant material reduces capacitive coupling in the front-end structure, thereby providing improved properties in the device.
    Type: Grant
    Filed: April 21, 1999
    Date of Patent: October 22, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Chorng-Ping Chang, Kin Ping Cheung, Chien-Shing Pai, Wei Zhu
  • Patent number: 6468906
    Abstract: An interconnect line on an IMD layer on a semiconductor device is formed in an interconnect hole in the IMD layer. The interconnect hole has walls and a bottom in the IMD layer. A diffusion barrier is formed on the walls and the bottom of the hole. Fill the interconnect hole with a copper metal line. Perform a CMP step to planarize the device and to remove copper above the IMD layer. Deposit a passivating metal layer on the surface of the copper metal line encapsulating the copper metal line at the top of the hole. Alternatively, a blanket deposit of a copper metal line layer covers the diffusion layer and fills the interconnect hole with a copper metal line. Perform a CMP process to planarize the device to remove copper above the IMD layer. Deposit a passivating metal layer on the surface of the copper metal line encapsulating the copper metal line at the top of the hole in a self-aligned deposition process.
    Type: Grant
    Filed: July 14, 2000
    Date of Patent: October 22, 2002
    Assignees: Chartered Semiconductor Manufacturing Ltd., National University of Singapore, Namyang Technological University of Singapore, Institute of Microelectronics
    Inventors: Lap Chan, Kuan Pei Yap, Kheng Chok Tee, Flora S. Ip, Wye Boon Loh
  • Patent number: 6469389
    Abstract: Within an integrated circuit, a contact plug with a height not extending above the level of the gate/wordline nitride is nonetheless provided with a relatively large contact area or landing pad, significantly larger than the source/drain region to which the contact plug is electrically connected. Methods for producing the inventive contact plug include (1) use of a nitride facet etch, either (a) during a nitride spacer formation etch or (b) during a BPSG etch; (2) using at least one of (a) an isotropic photoresist etch or partial descum to narrow BPSG spacers above the gate/wordline nitride, and (b) a nitride step etch to etch the shoulder area of the gate/wordline nitride exposed by a BPSG etch; and (3) polishing a BPSG layer down to the top of a gate/wordline nitride before any doped polysilicon plug fill, masking for BPSG etch and performing a BPSG etch, etching the photoresist layer through a partial descum, and etching the shoulder area of the gate/wordline nitride exposed thereby.
    Type: Grant
    Filed: May 9, 2000
    Date of Patent: October 22, 2002
    Assignee: Micron Technolgy, Inc.
    Inventors: Werner Juengling, Kirk Prall, Gordon Haller, David Keller, Tyler Lowrey
  • Patent number: 6469385
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A dielectric layer formed over the semiconductor substrate has an opening provided therein. The dielectric layer is of non-barrier dielectric material capable of being changed into a barrier dielectric material. The dielectric layer around the opening is changed into the barrier dielectric material and the conductor core material is deposited to fill the opening. The conductor core is processed to form a channel for the integrated circuit.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: October 22, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Pin-Chin Connie Wang, Minh Van Ngo
  • Publication number: 20020149108
    Abstract: Coplanar waveguides having a deep trench between a signal line and a ground plane and methods of their fabrication are disclosed. An oxide layer is provided over a silicon substrate and a photoresist is applied and patterned to define areas where the signal line and the ground plane will be formed. A barrier layer is provided over the oxide layer in the defined areas. A metal layer is then deposited over the barrier layer. An etch mask is deposited over the metal layer for the subsequent trench formation. The photoresist and the underlying portion of the oxide and barrier layers are removed and a deep trench is formed in the substrate between the signal line and the ground plane using etching through the mask.
    Type: Application
    Filed: April 17, 2001
    Publication date: October 17, 2002
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20020145199
    Abstract: The semiconductor device comprises: an insulation film 72 formed over a silicon substrate 10, an insulation film 78 formed on the insulation film 72 and having opening 82, and conductor 84 formed at least in the opening 82. Cavity 88 having the peripheral edges conformed to a configuration of the opening 82 is formed in the insulation film 72. The cavity 88 is formed in the region between the electrodes or the regions between the interconnection layers so as to decrease the dielectric constant between the electrodes or between the interconnection layers, whereby the parasitic capacitances of the region between the electrodes or the region between the interconnection layers can be drastically decreased, and consequently the semiconductor device can have higher speed.
    Type: Application
    Filed: March 12, 2002
    Publication date: October 10, 2002
    Inventors: Shunji Nakamura, Eiji Yoshida
  • Publication number: 20020137328
    Abstract: Photosensitive insulating films are laminated and formed on lower-layer interconnection layers and a connection hole is formed in the photosensitive insulating film, and a interconnection groove is formed on the photosensitive insulating film. The upper-layer interconnection layers are formed in a manner so as to fill the connection hole and the groove. With this arrangement, it is possible to provide a semiconductor device and a manufacturing method thereof having a multi-layer interconnection structure, which have advantages in that the connection hole and a groove are formed by using a simple process, the yield can be improved and the number of processes and the costs can be reduced.
    Type: Application
    Filed: July 2, 2001
    Publication date: September 26, 2002
    Inventor: Yoshihiko Toyoda
  • Patent number: 6455914
    Abstract: A structure and method of fabricating a metallization fuse line is disclosed. The structure can be formed on a semiconductor substrate, including an insulator structure formed on the substrate, the insulator structure having an upper layer and a lower layer, the upper being thinner than the lower, the insulator structure having a plurality of openings of varying depth, and a metal structure inlaid in the insulator structure, the metal structure having first and second portions and a third portion there between that is substantially more resistive than the first and second portions, the third portion having a thickness substantially similar to the thickness of the upper layer of the insulator structure. The upper layer includes a nitride, the lower layer includes an oxide and the metal structure includes copper. The fuse structure allows formation of “easy to laser delete” thin metal fuses within segments of thick metal lines.
    Type: Grant
    Filed: April 26, 2001
    Date of Patent: September 24, 2002
    Assignee: International Business Machines Corporation
    Inventors: Dennis P. Bouldin, Timothy H. Daubenspeck, William T. Motsiff
  • Publication number: 20020132474
    Abstract: A method for forming conductive contacts and interconnects in a semiconductor structure, and the resulting conductive components are provided. In particular, the method is used to fabricate single or dual damascene copper contacts and interconnects in integrated circuits such as memory devices and microprocessor.
    Type: Application
    Filed: March 15, 2001
    Publication date: September 19, 2002
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6452223
    Abstract: A method of electrically linking the contacts of a semiconductor device to their corresponding digit lines. The method includes disposing a quantity of mask material into a trench through which the contact is exposed. The mask also abuts a connect region of a conductive element of a corresponding digit line and, therefore, protrudes somewhat over a surface of the semiconductor device. A layer of insulative material is disposed over the semiconductor device with the mask material being exposed therethrough. The mask material is then removed, leaving open cavities that include the trench and a strap region continuous with the trench and with a connect region of the corresponding digit line. Conductive material is disposed within the cavity and electrically isolated from conductive material disposed in adjacent cavities, which define conductive plugs or studs and conductive straps from the conductive material.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: September 17, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Tyler A. Lowrey
  • Patent number: 6448652
    Abstract: A first interlayer insulating film and an etching stopper film are sequentially formed on a semiconductor substrate with a surface area on which first wiring is formed. The etching stopper film is patterned so as to correspond to a pattern of via hole formed on the first interlayer insulating film and a pattern of forming a second wiring. A second interlayer insulating film is formed on the etching stopper film. For forming the second wiring, a wiring trench is formed by etching the second interlayer insulating film. Continuously, the via hole Is formed by etching the first interlayer insulating film while having the etching stopper film as a photomask. Conductive materials are laid in the via hole and the wiring trench so that the second wiring connected to the first wiring is formed.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: September 10, 2002
    Assignee: NEC Corporation
    Inventor: Norio Okada
  • Publication number: 20020117752
    Abstract: A process for forming vertical contacts in the manufacture of integrated circuits, and devices so manufactured. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes the steps of: forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The above process may be repeated one or more times during the formation of multilevel metal integrated circuits.
    Type: Application
    Filed: May 1, 2002
    Publication date: August 29, 2002
    Inventors: Charles H. Dennison, Trung T. Doan
  • Publication number: 20020109229
    Abstract: A semiconductor device having a metal interconnection structure, and a method of forming a corresponding semiconductor device having a metal interconnection. The semiconductor device includes an interlevel dielectric (ILD) film deposited over a semiconductor substrate. The semiconductor substrate includes gate electrodes thereon separated from each other by an equal distance, and includes junction areas located between the gate electrodes, and is subjected to polishing. A portion of the ILD film aligned with a gate electrode is etched to a depth to form a trench. An anti-short insulating layer is deposited on the ILD film and in the trench. The anti-short insulating layer and the ILD film are etched to form a via hole so as to expose a junction area. The trench and the via hole are filled with metal, thereby resulting in a completed metal interconnection.
    Type: Application
    Filed: April 15, 2002
    Publication date: August 15, 2002
    Inventors: Jeong-Sic Jeon, Jae-Woong Kim, Sang-Hee Kim
  • Patent number: 6433372
    Abstract: A multigated FET having reduced diffusion capacitance, self-compensating effective channel length, improved short channel effects control, and enhanced density. Forming the FET by providing a plurality of separated insulated gates on a substrate, including forming insulating material on at least four surfaces of each of the gates, forming a dielectric layer on the substrate between the insulated gates, depositing and planarizing a layer of conductive material on and between the insulated gates down to the insulating material on the top surface of the insulated gates, and implanting diffusion regions into the substrate, adjacent to and beneath a portion of two distal ones of the plurality of insulated gates.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: August 13, 2002
    Assignee: International Business Machines Corporation
    Inventors: Eric Adler, Kerry Bernstein, John J. Ellis-Monaghan, Jenifer E. Lary, Edward J. Nowak, Norman J. Rohrer
  • Publication number: 20020096768
    Abstract: A soft metal conductor for use in a semiconductor device which has an uppermost layer consisting of grains having grain sizes sufficiently large so as to provide a substantially scratch-free surface upon polishing in a subsequent polishing step. The invention also provides a method for making a soft metal conductor that has a substantially scratch-free surface upon polishing by a multi-step deposition process, i.e., first sputtering at a higher temperature and then sputtering at a lower temperature and followed by another high temperature sputtering process. The invention further discloses a method for forming a substantially scratch-free surface on a soft metal conductor by first depositing a soft metal layer at a low deposition temperature and then annealing the soft metal layer at a higher temperature to increase the grain size of the metal.
    Type: Application
    Filed: January 18, 2002
    Publication date: July 25, 2002
    Applicant: International Business Machines Corporation
    Inventor: Rajiv Vasant Joshi
  • Publication number: 20020098672
    Abstract: A semiconductor device and a method of making it involve the semiconductor device (10, 71, 101, 121, 151, 201) having a substrate (11, 73, 153) with spaced source and drain regions (13-14, 76-78, 154). A gate section (21, 81-82, 123, 203) projects upwardly from between an adjacent pair of the regions, into an insulating layer (31, 83, 103, 122, 157). In order to create local interconnects to the source and drain regions through the insulating layer, a patterned etch is carried out using an etch region (36, 87, 126), which extends over one of the gate sections from a location above one of the regions to a location above another of the regions. Etching in this etch region produces recesses (41-42, 91-93, 107-108, 138-139, 158) on opposite sides of and immediately adjacent the gate section. A conductive layer (51, 96, 111, 161, 171) is deposited to fill the recesses, and then is planarized back to the upper ends of the gate sections.
    Type: Application
    Filed: February 27, 2002
    Publication date: July 25, 2002
    Inventor: Theodore W. Houston
  • Patent number: 6424042
    Abstract: An interlayer film layer is formed on an (N−1)-th interconnection Layer via a barrier film, and an N-th interconnection layer is formed on the interlayer film layer. An interconnection having a Damascene structure is formed in the interconnection layer and the interlayer film layer. The interconnection has an wiring portion having a narrow line width and a pad portion having a wide line width. A recess corresponding to the wiring portion and the pad portion is provided in an insulating film of the interconnection layer. A recess corresponding to the pad portion is provided in an insulating film of the interlayer film layer. A barrier metal and a metal film are deposited in both the recesses, and unnecessary portions of the barrier metal and the metal film are removed by CMP, to form a multilayer interconnection structure.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: July 23, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Takeshi Kitani
  • Patent number: 6424019
    Abstract: A process for fabricating a trench filled with an insulating material in a surface of an integrated circuit substrate is described. One step of the process includes defining a masking layer on a composite layered stack above a region to be protected on the integrated circuit substrate surface. The composite layered stack includes a layer of a first material and a polishing stopping layer. The layer of the first material has a polishing rate by chemical mechanical polishing that is greater than a polishing rate by chemical mechanical polishing of the insulating material. Another step of the process includes etching through the composite layered stack and the integrated circuit substrate to form the trench in the integrated circuit substrate surface and depositing the insulating material on the integrated circuit substrate surface such that the trench is filled with the insulating material.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: July 23, 2002
    Assignee: LSI Logic Corporation
    Inventors: Shouli Steve Hsia, Yanhua Wang, Jayanthi Pallinti
  • Publication number: 20020093099
    Abstract: Within an integrated circuit, a contact plug with a height not extending above the level of the gate/wordline nitride is nonetheless provided with a relatively large contact area or landing pad, significantly larger than the source/drain region to which the contact plug is electrically connected. Methods for producing the inventive contact plug include (1) use of a nitride facet etch, either (a) during a nitride spacer formation etch or (b) during a BPSG etch; (2) using at least one of (a) an isotropic photoresist etch or partial descum to narrow BPSG spacers above the gate/wordline nitride, and (b) a nitride step etch to etch the shoulder area of the gate/wordline nitride exposed by a BPSG etch; and (3) polishing a BPSG layer down to the top of a gate/wordline nitride before any doped polysilicon plug fill, masking for BPSG etch and performing a BPSG etch, etching the photoresist layer through a partial descum, and etching the shoulder area of the gate/wordline nitride exposed thereby.
    Type: Application
    Filed: May 9, 2000
    Publication date: July 18, 2002
    Inventors: WERNER JUENGLING, KIRK PRALL, GORDON HALLER, DAVID KELLER, TYLER LOWREY
  • Publication number: 20020093029
    Abstract: A method and structure that provides a battery within an integrated circuit for providing voltage to low-current electronic devices that exist within the integrated circuit. The method includes Front-End-Of-Line (FEOL) processing for generating a layer of electronic devices on a semiconductor wafer, followed by Back-End-Of-Line (BEOL) integration for wires the electronic devices together to form completed electrical circuits of the integrated circuit. The BEOL integration includes forming a multilayered structure of wiring levels on the layer of electronic devices. Each wiring level includes conductive metallization (e.g., metal-plated vias, conductive wiring lines, etc.) embedded in insulative material. The battery is formed during BEOL integration within one or more wiring levels, and the conductive metallization conductively couples positive and negative terminals of the battery to the electronic devices.
    Type: Application
    Filed: January 16, 2001
    Publication date: July 18, 2002
    Inventors: Arne W. Ballantine, Robert A. Groves, Jennifer L. Lund, James S. Nakos, Michael B. Rice, Anthony K. Stamper
  • Publication number: 20020094698
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Application
    Filed: December 31, 2001
    Publication date: July 18, 2002
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Patent number: 6414392
    Abstract: A process for forming vertical contacts in the manufacture of integrated circuits, and devices so manufactured. The process eliminates the need for precise mask alignment and allows the etch of the contact hole to be controlled independent of the etch of the interconnect trough. The process includes the steps of: forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole. The above process may be repeated one or more times during the formation of multi-level metal integrated circuits.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: July 2, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Charles H. Dennison, Trung T. Doan
  • Patent number: 6410935
    Abstract: An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.
    Type: Grant
    Filed: May 16, 2001
    Date of Patent: June 25, 2002
    Assignee: Argonne National Laboratory
    Inventors: Tijana Rajh, Natalia Meshkov, Jovan M. Nedelijkovic, Laura R. Skubal, David M. Tiede, Marion Thurnauer
  • Patent number: 6404055
    Abstract: A semiconductor device having a metal interconnection structure, and a method of forming a corresponding semiconductor device having a metal interconnection. The semiconductor device includes an interlevel dielectric (ILD) film deposited over a semiconductor substrate. The semiconductor substrate includes gate electrodes thereon separated from each other by an equal distance, and includes junction areas located between the gate electrodes, and is subjected to polishing. A portion of the ILD film aligned with a gate electrode is etched to a depth to form a trench. An anti-short insulating layer is deposited on the ILD film and in the trench. The anti-short insulating layer and the ILD film are etched to form a via hole so as to expose a junction area. The trench and the via hole are filled with metal, thereby resulting in a completed metal interconnection.
    Type: Grant
    Filed: May 23, 2001
    Date of Patent: June 11, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-sic Jeon, Jae-woong Kim, Sang-hee Kim
  • Publication number: 20020066958
    Abstract: A method for manufacturing a dual damascene structure comprises forming a first conductive layer over a substrate. An isolation pillar with a second conductive layer is formed on the first conductive layer. A second isolation layer is formed over the second conductive layer. A portion of the second isolation layer is removed, thereby exposing the isolation pillar. A third isolation layer is formed on the first isolation layer. Subsequently, the third isolation layer is patterned to have a trench in the third isolation layer. The isolation pillar is then removed, thereby forming an opening in the first isolation layer. Then, a conductive material is refilled in the trench and the opening, thereby connecting to the first conductive layer.
    Type: Application
    Filed: December 6, 2000
    Publication date: June 6, 2002
    Inventor: Horng-Huei Tseng
  • Patent number: 6396158
    Abstract: Selective placement of polishing dummy feature patterns, rather than indiscriminate placement of polishing dummy feature patterns, is used. Both low frequency (hundreds of microns and larger) and high frequency (10 microns and less) of topography changes are examined. The polishing dummy feature patterns can be specifically tailored to a semiconductor device and polishing conditions used in forming the semiconductor device. When designing an integrated circuit, polishing effects for the active features can be predicted. After polishing dummy feature pattern(s) are placed into the layout, the planarity can be examined on a local level (a portion but not all of the device) and a more global level (all of the device, devices corresponding to a reticle field, or even an entire wafer).
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: May 28, 2002
    Assignee: Motorola Inc.
    Inventors: Edward O. Travis, Aykut Dengi, Sejal Chheda, Tat-Kwan Yu, Mark S. Roberton, Ruiqi Tian
  • Patent number: 6395607
    Abstract: A microelectronic device having a self aligned metal diffusion barrier is disclosed. A microelectronic device having a substrate and a dielectric layer on the substrate. A trench having inside walls is formed through the dielectric layer. A lining of a barrier metal is on the inside walls of the trench and a fill metal is in the trench between the linings on the inside walls of the trench. The fill metal and the barrier metal have substantially different removal selectivities. A covering of the barrier metal is on the fill metal and the covering spans the linings on the inside walls of the trench and conforms to the top of the fill metal in the trench.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: May 28, 2002
    Assignee: AlliedSignal Inc.
    Inventor: Henry Chung
  • Patent number: 6392300
    Abstract: A semiconductor device having a multilayer wire in which a plurality of wires are formed on a semiconductor substrate in layers with insulating films interposed therebetween. An alignment mark made of a conductive material is formed in a layer including an uppermost wire of the multilayer wire. A conductive plug is buried in a contact hole formed in one of the insulating films under the alignment mark. The conductive plug is in contact with the alignment mark.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: May 21, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hidetoshi Koike
  • Publication number: 20020050648
    Abstract: A first metal film is deposited on a bottom and a wall of a recess formed in an insulating film on a semiconductor substrate. A second metal film is filled in the recess on the first metal film. The second metal film is formed from a polycrystalline tungsten film having a crystal plane of a (110) orientation.
    Type: Application
    Filed: October 18, 2001
    Publication date: May 2, 2002
    Inventors: Takenobu Kishida, Takeshi Harada, Toru Hinomura, Hiromitsu Abe, Mitsunari Satake, Kenichi Kunimitsu
  • Patent number: 6380625
    Abstract: A semiconductor interconnect barrier between channels and vias is provided which made of a metallic barrier material. In one embodiment, a first channel is conventionally formed in the semiconductor dielectric, lined with a first barrier material, and filled with a first conductive material. A layer of titanium nitride is formed atop the first channel of the first conductive material. Thereafter, a second channel is conventional formed in a second channel oxide, lined with a second barrier material. The second barrier material is selected from metals such as tantalum, titanium, tungsten, compounds thereof, alloys thereof, and combinations thereof. The combination of the titanium nitride layer and the second barrier material provide a superior barrier for conductive material layers, such as, copper/copper layers, and copper/aluminum layers.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: April 30, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Takeshi Nogami
  • Patent number: 6380607
    Abstract: A wire in a semiconductor device and the fabricating the same are disclosed in the present invention. A semiconductor device includes a semiconductor substrate, a plurality of conductive layers on the semiconductor substrate, and an insulating layer on the semiconductor substrate including the conductive layers, the insulating layer having at least one void between each adjacent conductive layer.
    Type: Grant
    Filed: December 21, 1998
    Date of Patent: April 30, 2002
    Assignee: LG Semicon Co., Ltd.
    Inventor: Won Cheul Seo
  • Publication number: 20020047203
    Abstract: A semiconductor device has a dielectric film made of a fluorine-added carbon film formed on a substrate, a metallic layer formed on the fluorine-added carbon film and an adhesive layer formed between the dielectric film and the metallic layer. The adhesive layer is made of a compound layer having carbon and the metal (or metal the same as the metal included in the metallic layer), to protect the metallic layer from being peeled-off from the fluorine-added carbon film.
    Type: Application
    Filed: November 21, 2001
    Publication date: April 25, 2002
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Akahori, Akira Suzuki
  • Patent number: 6376371
    Abstract: A refractory Metal Nitride and a refractory metal Silicon Nitride layer (64) can be formed using metal organic chemical deposition. More specifically, tantalum nitride (TaN) (64) can be formed by a Chemical Vapor Deposition (CVD) using Ethyltrikis (Diethylamido) Tantalum (ETDET) and ammonia (NH3). By the inclusion of silane (SiH4), tantalum silicon nitride (TaSiN) (64) layer can also be formed. Both of these layers can be formed at wafer temperatures lower than approximately 400° C. with relatively small amounts of carbon (C) within the film. Therefore, the embodiments of the present invention can be used to form tantalum nitride (TaN) or tantalum silicon nitride (TaSiN) (64) that is relatively conformal and has reasonably good diffusion barrier properties.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: April 23, 2002
    Assignee: Motorola, Inc.
    Inventors: Ajay Jain, Elizabeth Weitzman
  • Patent number: 6376911
    Abstract: A final passivation structure for a semiconductor device having conductive lines formed on a surface of the semiconductor device, comprising a planarized layer covering the surface and also covering the conductive lines, and a diffusion barrier covering the planarized layer. Alternately, the planarized layer may partially cover the conductive lines.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: April 23, 2002
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft, Toshiba Corporation
    Inventors: James Gardner Ryan, Alexander Mitwalsky, Katsuya Okumura
  • Patent number: 6372638
    Abstract: A method for forming void free tungsten plug contacts (56a-56c) begins by etching a contact opening (55a-55c) using a C2F6 and CHF3 chemistry. The etch chemistry is then changed to an O2 and CH3F chemistry in order to insitu remove the contact photoresist while tapering an upper portion of the contact opening. A tungsten deposition process is then performed whereby the tapered portion of the contact reduces the effects of nonconformal and step-coverage-inconsistent tungsten deposition wherein voids in the contact are either substantially reduced or totally avoided within the contact structure. The reduction of or total elimination of voids (22) within the tungsten contact will increase yield, increase reliability, and reduce electromigration failures within integrated circuit devices.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: April 16, 2002
    Assignee: Motorola, Inc.
    Inventors: Robert Arthur Rodriguez, Heather Marie Klesat
  • Patent number: 6373135
    Abstract: High aspect ratio vias formed in a first insulating layer covering a semiconductor substrate (body) are filled with conductors in a manner that both reduces the number of processing steps and allows an alignment tool (stepper) to align to alignment and overlay marks. Sidewalls and a bottom of each via are coated with a composite layer of titanium, titanium nitride, and a chemical vapor deposited seed layer of aluminum. A first physical vapor deposited layer of aluminum is then formed while the semiconductor body is heated to about 400 degrees C. to completely the vias and to overflow same to form a blanket layer of aluminum above the first insulating layer. A second physical vapor deposited layer of aluminum is then formed over the first blanket layer of aluminum while the structure is heated to about 200 degrees C. to form a second blanket layer of aluminum over the first.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: April 16, 2002
    Assignee: Infineon Technologies AG
    Inventor: Stefan Weber
  • Patent number: 6359296
    Abstract: WSix, with 0.3<x<0.7, is used as material for at least one capacitor electrode. Since this conductive material is amorphous up to 800° C., a diffusion of atoms into the capacitor electrode or out of the capacitor electrode does not occur. This property is significant, since a dielectric of the capacitor contains a ferroelectric. The conductive material can be etched easily, providing thick layers to create the capacitor electrode. To increase the capacitance of the capacitor, given a simultaneous high packing density of the circuit arrangement, the capacitor electrode is created with a large surface area and a small cross-sectional area.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: March 19, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Josef Willer
  • Patent number: 6353260
    Abstract: In forming a semiconductor device in which an electrically conductive substrate is covered with a dielectric layer by the following steps, form a trench with a trench line on top and a contact hole on the bottom in the dielectric layer with the overall trench reaching down to the substrate. Preclean the trench. Form a tantalum film over the dielectric layer including the trench walls, covering the exposed the substrate surface. Fill grain boundaries of the tantalum film with at least one of tantalum oxide and tantalum nitride forming a filled tantalum film. Form a redeposited tantalum layer above the filled tantalum film. Form a copper seed film above the redeposited tantalum film. Plate the device filling the trench with a plated bulk copper layer on the seed film. Planarize the device to expose the top surface of the dielectric layer, removing surplus portions of the filled tantalum film, the copper seed film, and the bulk copper layer.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: March 5, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Shau-Lin Shue, Chen-Hua Yu
  • Patent number: 6352920
    Abstract: A process of manufacturing a semiconductor device comprising: a step of forming an interlayer insulating film so as to cover a plurality of semiconductor elements formed on a semiconductor substrate, a step of forming openings in predetermined regions of the interlayer insulating film on the semiconductor elements in a manner of penetrating only halfway through the interlayer insulating film, a dual damascene step of forming contact hole by removing the interlayer insulating film remaining under the predetermined ones of the openings, thereby forming simultaneously openings for burying a wiring layer which include upper portions of the predetermined openings, a step of forming a conductive layer on the interlayer insulating film to fill at least the contact holes and the openings for burying the wiring layer; and a step of forming contact plugs and a buried wiring layer by removing the conductive layer on the interlayer insulating film.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: March 5, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Narakazu Shimomura
  • Patent number: 6348731
    Abstract: A method of providing sub-half-micron copper interconnections with improved electromigration and corrosion resistance. The method includes double damascene using electroplated copper, where the seed layer is converted to an intermetallic layer. A layer of copper intermetallics with hafnium, lanthanum, zirconium or tin, is provided to improve the electromigration resistance and to reduce defect sensitivity. A method is also provided to form a cap atop copper lines, to improve corrosion resistance, which fully covers the surface. Structure and methods are also described to improve the electromigration and corrosion resistance by incorporating carbon atoms in copper interstitial positions.
    Type: Grant
    Filed: January 29, 1999
    Date of Patent: February 19, 2002
    Assignee: International Business Machines Corporation
    Inventors: Leon Ashley, Hormazdyar M. Dalal, Du Binh Nguyen, Hazara S. Rathore, Richard G. Smith
  • Patent number: 6346747
    Abstract: A method for fabricating a thermally stable carbon-based low dielectric constant film such as a hydrogenated amorphous carbon film or a diamond-like carbon film in a parallel plate chemical vapor deposition process utilizing plasma enhanced chemical vapor deposition process is disclosed. Electronic devices containing insulating layers of thermally stable carbon-based low dielectric constant materials that are prepared by the method are further disclosed. In order to render the carbon-based low dielectric constant film thermally stable, i.e., at a temperature of at least 400° C., the films are heat treated at a temperature of not less than 350° C. for at least 0.5 hour. To enable the fabrication of thermally stable carbon-based low dielectric constant film, specific precursor materials such as cyclic hydrocarbons should be used, for instance, cyclohexane or benzene.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: February 12, 2002
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Christopher Vincent Jahnes, Vishnubhai Vitthalbhai Patel
  • Patent number: 6342733
    Abstract: The idea of the invention is to coat the free surface of patterned Cu conducting lines in on-chip interconnections (BEOL) wiring by a 1-20 nm thick metal layer prior to deposition of the interlevel dielectric. This coating is sufficiently thin so as to obviate the need for additional planarization by polishing, while providing protection against oxidation and surface, or interface, diffusion of Cu which has been identified by the inventors as the leading contributor to metal line failure by electromigration and thermal stress voiding. Also, the metal layer increases the adhesion strength between the Cu and dielectric so as to further increase lifetime and facilitate process yield. The free surface is a direct result of the CMP (chemical mechanical polishing) in a damascene process or in a dry etching process by which Cu wiring is patterned. It is proposed that the metal capping layer be deposited by a selective process onto the Cu to minimize further processing.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: January 29, 2002
    Assignee: International Business Machines Corporation
    Inventors: Chao-Kun Hu, Robert Rosenberg, Judith Marie Rubino, Carlos Juan Sambucetti, Anthony Kendall Stamper
  • Patent number: 6337516
    Abstract: A method of forming a wiring pattern in a device comprises forming an array of grooves in a mask, forming first spacers adjacent vertical walls of the grooves, removing the mask, forming second spacers adjacent the first spacers, and filling areas between the first spacers and areas between the second spacers with a material to form the wiring pattern.
    Type: Grant
    Filed: July 3, 2000
    Date of Patent: January 8, 2002
    Assignee: International Business Machines Corporation
    Inventors: Harris C. Jones, James G. Ryan
  • Publication number: 20020000644
    Abstract: An insulating layer having a BPSG layer, a semiconductor device and methods for fabricating them. After preparing an oxidizing atmosphere using an oxygen gas, a first seed layer is formed with a tetraethylorthosilicate (TEOS) and the oxygen gas. Thereafter, a second seed layer, used to form an insulating layer capable of controlling an amount of a boron, is formed by means of using a triethylborate (TEB), the TEOS and the oxygen gas. Then, the insulating layer having a BPSG layer is formed using the TEB, a triethylphosphate, the TEOS and an ozone gas. About 5.25 to 5.75% by weight of the boron and about 2.75 to 4.25% by weight of the phosphorous are added to the insulating layer.
    Type: Application
    Filed: March 8, 2001
    Publication date: January 3, 2002
    Inventors: Jin-Ho Jeon, Byoung-Deog Choi, Jong-Seung Yi, Tae-Wook Seo
  • Patent number: 6335569
    Abstract: A soft metal conductor for use in a semiconductor device which has an uppermost layer consisting of grains having grain sizes sufficiently large so as to provide a substantially scratch-free surface upon polishing in a subsequent polishing step. The invention also provides a method for making a soft metal conductor that has a substantially scratch-free surface upon polishing by a multi-step deposition process, i.e., first sputtering at a higher temperature and then sputtering at a lower temperature and followed by another high temperature sputtering process. The invention further discloses a method for forming a substantially scratch-free surface on a soft metal conductor by first depositing a soft metal layer at a low deposition temperature and then annealing the soft metal layer at a higher temperature to increase the grain size of the metal.
    Type: Grant
    Filed: July 9, 1998
    Date of Patent: January 1, 2002
    Assignee: International Business Machines Corporation
    Inventor: Rajiv Vasant Joshi
  • Patent number: 6335560
    Abstract: A semiconductor device includes a plurality of real chip regions and dicing lines to separate the real chip regions on a semiconductor substrate. A dicing line includes a mark section and a mark forbidden region around the mark section. A dummy wiring pattern is formed to fill the dicing line or a portion of the real chip region to surround the mark section and the mark forbidden region. A dummy wiring pattern may be a single continuous wiring pattern or the single wiring pattern may be divided into segments. Alternatively, a dummy wiring pattern may be composed of a plurality of square portions arranged in a matrix fashion.
    Type: Grant
    Filed: December 9, 1999
    Date of Patent: January 1, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Masahiko Takeuchi
  • Publication number: 20010054766
    Abstract: A semiconductor device having a planar integrated circuit interconnect and process of fabrication. The planar integrated circuit comprises a substrate having a first line wire formed in the substrate, a dielectric layer formed on the substrate, a second line wire formed in the dielectric layer, a contact via formed within the dielectric layer extending through the dielectric layer from the second line wire to the first line wire, and a dummy via which extends into the dielectric layer and is filled with a low dielectric material.
    Type: Application
    Filed: July 19, 2001
    Publication date: December 27, 2001
    Inventors: Bachir Dirahoui, Daniel C. Edelstein, Robert C. Greenlese, Harris C. Jones
  • Patent number: 6331732
    Abstract: A method and system for providing a via structure for an integrated circuit is disclosed. The method and system includes providing a high conductivity metal that forms a metal structure consisting of the high conductivity metal. The method and system also includes a dielectric material surrounding the high conductivity metal. The dielectric material includes sidewalls to form a via hole. The method and system also include providing a via plug material other than the high conductivity metal. The via plug material covers the high conductivity metal and substantially fills the via hole. The via plug material substantially covers a base portion of the high conductivity metal and the sidewalls of the via hole. The via plug material is for gettering the high conductivity metal sputtered on the sidewalls of the via hole.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: December 18, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Subhash Gupta, Susan Hsuching Chen
  • Patent number: 6331734
    Abstract: A semiconductor device includes a semiconductor substrate on which an element is formed, a lower wiring formed on the semiconductor substrate, and an upper wiring formed on and connected to the lower wiring. The upper wiring includes a plurality of regions having different thicknesses in a continuous wiring region excluding a connection region for connecting the upper and lower wirings.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: December 18, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Katsuhiko Hieda
  • Publication number: 20010050414
    Abstract: A second or cap dielectric layer is interposed between the usual or base dielectric layer and the metallic circuitry layer of a semiconductor device. The base dielectric layer has a plurality of recesses in an inactive part of the semiconductor device into which parts of the cap dielectric layer extend to interlock the cap dielectric layer to the base dielectric layer and to oppose shearing or tearing of the either (1) the metallic circuitry layer as the metallic circuitry layer is subjected to chemical-mechanical polishing, or (2) a hard mask layer from the base dielectric layer as the metallic circuitry layer is subjected to chemical-mechanical polishing.
    Type: Application
    Filed: July 29, 1999
    Publication date: December 13, 2001
    Inventors: REBECCA D. MIH, KEVIN S. PETRARCA