Molybdenum, Tungsten, Or Titanium Or Their Silicides Patents (Class 257/770)
  • Patent number: 7649263
    Abstract: A semiconductor device including at least one conductive structure is provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal salicide layer and a protection layer. The refractory metal salicide layer is disposed over the silicon-containing conductive layer. The protection layer is disposed over the refractory metal salicide layer. Another semiconductor device including at least one conductive structure is also provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal alloy salicide layer and a protection layer. The refractory metal alloy salicide layer is disposed over the silicon-containing conductive layer. The refractory metal alloy salicide layer is formed from a reaction of silicon of the silicon-containing conductive layer and a refractory metal alloy layer which includes a first refractory metal and a second refractory metal. The protection layer is disposed over the refractory metal alloy salicide layer.
    Type: Grant
    Filed: November 23, 2007
    Date of Patent: January 19, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Yiing Chiang, Yi-Wei Chen, Tzung-Yu Hung
  • Publication number: 20090321943
    Abstract: Briefly, a memory device comprising a beta phase tungsten seed layer is disclosed.
    Type: Application
    Filed: June 30, 2008
    Publication date: December 31, 2009
    Inventors: Mark Meldrim, Allen Mcteer, Alain P. Blosse
  • Patent number: 7633086
    Abstract: A light emitting device is disclosed which includes a substrate, a plurality of anode electrode layers disposed in a first direction on the substrate, a plurality of cathode electrode layers disposed in a second direction different from the first direction on the substrate, a plurality of data lines electrically communicated with the anode electrode layers, and a plurality of scan lines electrically communicated with the cathode electrode layers.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: December 15, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Chun Tak Lee
  • Patent number: 7615867
    Abstract: A TFT includes a gate electrode, an active layer, a source electrode, a drain electrode, and a buffer layer. The gate electrode is formed on the substrate; the active layer is formed on the gate electrode. The source and drain electrodes, formed on the active layer, are separated by a predetermined distance. The buffer layer is formed between the active layer and the source and drain electrodes. The buffer layer has a substantially continuously varying content ratio corresponding to a buffer layer thickness. The buffer layer is formed to suppress oxidation of the active layer, and reduce contact resistance.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: November 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoung-June Kim, Sung-Hoon Yang, Min-Seok Oh, Jae-Ho Choi, Yong-Mo Choi
  • Publication number: 20090189229
    Abstract: Provided are semiconductor devices and methods of fabricating the same, and more specifically, semiconductor devices having a W—Ni alloy thin layer that has a low resistance, and methods of fabricating the same. The semiconductor devices include the W—Ni alloy thin layer. The weight of Ni in the W—Ni alloy thin layer may be in a range from approximately 0.01 to approximately 5.0 wt % of the total weight of the W—Ni alloy thin layer.
    Type: Application
    Filed: December 3, 2008
    Publication date: July 30, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jong-min Baek, Seong-hwee Cheong, Gil-heyun Choi, Tae-ho Cha, Hee-sook Park, Byung-hak Lee, Jae-hwa Park
  • Publication number: 20090184421
    Abstract: A semiconductor device is provided, which includes a substrate, an insulator film formed over the substrate, and plural metal wirings with different widths containing copper as a main component and an impurity which is different from copper. The plural metal wirings includes a first metal wiring having a concentration profile where the concentration of the impurity metal increases from the center part of the stacking direction to the surface and the second metal wiring having a concentration profile where the concentration of the impurity metal decreases from the bottom surface of the stacking direction to the surface. Moreover, the width of the second metal wiring may be larger than the width of the first metal wiring.
    Type: Application
    Filed: January 8, 2009
    Publication date: July 23, 2009
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Daisuke Oshida, Toshiyuki Takewaki, Shinji Yokogawa
  • Patent number: 7550851
    Abstract: A process is described that forms a low resistivity connection between a tungsten layer and a silicon surface with high adherence of the tungsten to the silicon. The silicon surface is plasma-cleaned to remove native oxide. A very thin layer (one or more monolayers) of Si-NH2 is formed on the silicon surface, serving as an adhesion layer. A WNx layer is formed over the Si-NH2 layer, using an atomic layer deposition (ALD) process, to serve as a barrier layer. A thick tungsten layer is formed over the WNx layer by CVD. An additional metal layer (e.g., aluminum) may be formed over the tungsten layer.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: June 23, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Huong T. Nguyen, Dennis Hausmann
  • Patent number: 7550782
    Abstract: In a semiconductor device in which a group III nitride compound semiconductor layer is formed without a low temperature grown buffer layer provided on an undercoat layer formed by a metal nitride layer, the metal nitride layer is formed of reddish brown titanium nitride. The reddish brown titanium nitride can be obtained by causing nitrogen to be rich in the titanium nitride.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: June 23, 2009
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Masanori Murakami, Teppei Watanabe, Susumu Tsukimoto, Kazuhiro Ito, Jun Ito, Miki Moriyama, Naoki Shibata
  • Publication number: 20090140303
    Abstract: A semiconductor device and a method for manufacturing the same includes forming a via pattern having a matrix form in a dielectric layer. The via pattern includes a via slit provided at the center of the via pattern and a plurality of via holes provided at an outer periphery of the via pattern and surrounding the via slit. Metal plugs are formed in the via holes.
    Type: Application
    Filed: December 3, 2008
    Publication date: June 4, 2009
    Inventor: Chee-Hong Choi
  • Publication number: 20090108457
    Abstract: A first through via is electrically insulated from surrounding wafer substrate material. A second through via is not electrically insulated from the surrounding wafer substrate material. This configuration is advantageous when the non-insulated via serves as the path for either Vdd or GND. By not insulating the through via, a first supply voltage (Vdd or GND) is allowed to flow through the surrounding wafer substrate material thereby decreasing the resistance of the first supply voltage path.
    Type: Application
    Filed: October 31, 2007
    Publication date: April 30, 2009
    Inventors: Todd Alan Christensen, John Edward Sheets, II
  • Publication number: 20090091036
    Abstract: A wafer structure with a buffer layer is provided. The wafer structure comprises a wafer which has at least one pad formed thereon, a passivation layer formed on the wafer for partially exposing the at least one pad, a buffer layer formed on the passivation layer and the pad, and an under bump metallurgy (UBM) formed on the buffer layer. The buffer layer comprises a thickness-increased inner buffering member made from aluminum and located between the UBM and the pad to enhance the shock-absorbing ability of the wafer in a drop test to avoid the conductive bump bonded to a substrate coming off or cracking. The invention can also enhance the bonding between the conductive bump and the UBM. The buffer layer may further comprise an outer buffering member made of polyimide, coated on the passivation layer and partially arranged between the UBM and the passivation layer.
    Type: Application
    Filed: October 1, 2008
    Publication date: April 9, 2009
    Applicant: Advanced Semiconductor Engineering, Inc.
    Inventors: Chih-Hsing Chen, Tai-Yuan Huang
  • Publication number: 20090065938
    Abstract: The object of the present invention is to provide a semiconductor element containing an n-type gallium nitride based compound semiconductor and a novel electrode that makes an ohmic contact with the semiconductor. The semiconductor element of the present invention has an n-type Gallium nitride based compound semiconductor and an electrode that forms an ohmic contact with the semiconductor, wherein the electrode has a TiW alloy layer to be in contact with the semiconductor. According to a preferable embodiment, the above-mentioned electrode can also serve as a contact electrode. According to a preferable embodiment, the above-mentioned electrode is superior in the heat resistance. Moreover, a production method of the semiconductor element is also provided.
    Type: Application
    Filed: April 4, 2006
    Publication date: March 12, 2009
    Inventors: Tsuyoshi Takano, Takahide Joichi, Hiroaki Okagawa
  • Publication number: 20090045518
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of conductive patterns over a substrate, forming a spin on dielectric (SOD) layer filling a portion of space between the conductive patterns, and forming an insulation pattern filling the remaining space over the SOD layer, wherein the stacked structure of the SOD layer and the insulation pattern forms a first interlayer dielectric layer.
    Type: Application
    Filed: June 30, 2008
    Publication date: February 19, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sang-Hoon CHO
  • Publication number: 20090045517
    Abstract: A tungsten film with a lower specific resistance and a lower fluorine concentration over its boundary with the base barrier layer, which adheres to the barrier layer with a high level of reliability, compared to tungsten films formed through methods in the related art, is formed. The tungsten film is formed through a process in which a silicon-containing gas is delivered to a wafer M placed within a processing container 14 and a process executed after the silicon-containing gas supply process, in which a first tungsten film 70 is formed by alternately executing multiple times, a tungsten-containing gas supply step for supplying a tungsten-containing gas and a hydrogen compound gas supply step for supplying a hydrogen compound gas with no silicon content with a purge step in which an inert gas is supplied into the processing container and/or an evacuation step for evacuating the processing container executed between the tungsten-containing gas supply step and the hydrogen compound gas supply step.
    Type: Application
    Filed: June 23, 2006
    Publication date: February 19, 2009
    Applicant: Tokyo Electron Limited
    Inventors: Masahito Sugiura, Yasutaka Mizoguchi, Yasushi Aiba
  • Publication number: 20090039517
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Application
    Filed: October 10, 2008
    Publication date: February 12, 2009
    Applicant: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Patent number: 7485965
    Abstract: A through via in an ultra high resistivity wafer and related methods are disclosed. A method for forming a through via comprises: providing a semiconductor wafer including a first silicon layer, a buried dielectric layer, and a substrate; forming a device on the first silicon; and forming a via from a side of the substrate opposite to the buried dielectric layer and through the substrate. Also disclosed is a method for providing a wafer varied resistivity using the through vias and buried dielectric.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: February 3, 2009
    Assignee: International Business Machines Corporation
    Inventors: Louis D. Lanzerotti, Max G. Levy, Yun Shi, Steven H. Voldman
  • Publication number: 20090026626
    Abstract: A method for fabricating a semiconductor device includes forming a dielectric film on a semiconductor substrate; forming an opening in the dielectric film; forming a refractory metal film in the opening; performing a nitriding process to the refractory metal film; removing a nitride of the refractory metal film formed on a side wall of the opening; and depositing tungsten (W) in the opening from which the nitride is removed.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 29, 2009
    Inventors: Hideto MATSUYAMA, Fumio HOSHI
  • Publication number: 20090001592
    Abstract: Methods of forming a metal interconnect and an IC chip including the metal interconnect are disclosed. One embodiment of the method may include providing an integrated circuit (IC) chip up to and including a middle of line (MOL) layer, the MOL layer including a contact positioned within a first dielectric; recessing the first dielectric such that the contact extends beyond an upper surface of the first dielectric; forming a second dielectric over the first dielectric such that the second dielectric surrounds at least a portion of the contact, the second dielectric having a lower dielectric constant than the first dielectric; forming a planarizing layer over the second dielectric; forming an opening through the planarizing layer and into the second dielectric to the contact; and forming a metal in the opening to form the metal interconnect.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karl W. Barth, Ramona Kei, Kaushik A. Kumar, Kevin S. Petrarca, Shahab Siddiqui
  • Publication number: 20080296773
    Abstract: A semiconductor device is disclosed that improves heat dissipation by providing blind contact elements on a dielectric layer. Embodiments are disclosed which include a substrate having at least one electrode contact area accessible at a surface of the substrate and a surface adjacent the electrode contact area, a dielectric layer disposed above the surface; an intermediate oxide layer disposed above the dielectric layer, a current conducting metallization layer disposed above the intermediate oxide layer; and at least one contact element vertically extending from the dielectric layer through the intermediate oxide layer to the metallization layer above the surface adjacent the electrode contact area, the at least one contact element having a heat conductivity that is higher than that of the intermediate oxide layer.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Inventor: Matthias Stecher
  • Patent number: 7459788
    Abstract: An ohmic electrode structure of a nitride semiconductor device having a nitride semiconductor. The ohmic electrode structure is provided with a first metal film formed on the nitride semiconductor and a second metal film formed on the first metal film. The first metal film is composed of at least one material selected from a group consisting of V, Mo, Ti, Nb, W, Fe, Hf, Re, Ta and Zr. The second metal film is composed of at least one material different from that of the first metal film (102), selected from a group consisting of V, Mo, Ti, Nb, W, Fe, Hf, Re, Ta, Zr, Pt and Au.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: December 2, 2008
    Assignee: NEC Corporation
    Inventors: Tatsuo Nakayama, Yuji Ando, Hironobu Miyamoto, Masaaki Kuzuhara, Yasuhiro Okamoto, Takashi Inoue, Koji Hataya
  • Publication number: 20080290524
    Abstract: A through via in an ultra high resistivity wafer and related methods are disclosed. A method for forming a through via comprises: providing a semiconductor wafer including a first silicon layer, a buried dielectric layer, and a substrate; forming a device on the first silicon; and forming a via from a side of the substrate opposite to the buried dielectric layer and through the substrate.
    Type: Application
    Filed: May 25, 2007
    Publication date: November 27, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Louis D. Lanzerotti, Max G. Levy, Yun Shi, Steven H. Voldman
  • Publication number: 20080284034
    Abstract: According to one aspect of the invention, a method of constructing a memory array is provided. An insulating layer is formed on a semiconductor wafer. A first metal stack is then formed on the insulating layer and etched to form first metal lines. A polymeric layer is formed over the first metal lines and the insulating layer. A puddle of smoothing solvent is then allowed to stand on the wafer. The smoothing solvent is then removed. After the smoothing solvent is removed, the polymeric layer has a reduced surface roughness. A second metal stack is then formed on the polymeric layer and etched to form second metal lines.
    Type: Application
    Filed: June 27, 2008
    Publication date: November 20, 2008
    Inventors: Michael J. Leeson, Ebrahim Andideh
  • Patent number: 7443032
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: October 28, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Publication number: 20080258176
    Abstract: An apparatus in one example comprises an antimonide-based compound semiconductor (ABCS) stack, an upper barrier layer formed on the ABCS stack, and a gate stack formed on the upper barrier layer. The upper barrier layer comprises indium, aluminum, and arsenic. The gate stack comprises a base layer of titanium and tungsten formed on the upper barrier layer.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Inventors: Yeong-Chang Chou, Jay Crawford, Jane Lee, Jeffrey Ming-Jer Yang, John Bradley Boos, Nicolas Alexandrou Papanicolaou
  • Publication number: 20080157384
    Abstract: Disclosed is a method of manufacturing an alignment key of a semiconductor device. According to an embodiment, the method includes forming an insulating layer on a semiconductor substrate on which a cell region and a scribe line are defined, forming a photoresist pattern on the insulating layer and etching the insulating layer using the photoresist pattern as an etch mask so as to form a contact hole on the cell region and a mark hole on the scribe line, depositing a metal layer in the contact hole and the mark hole, and planarizing the metal layer so as to form a contact and an alignment mark. The mark hole can be the same size as the contact hole. In addition, the mark hole can be formed in plurality on the scribe line.
    Type: Application
    Filed: September 13, 2007
    Publication date: July 3, 2008
    Inventor: Haeng Leem Jeon
  • Patent number: 7385260
    Abstract: The present invention provides a semiconductor device having a silicide thin film and method of forming the same. A semiconductor device comprises a gate insulation layer formed on an active region of a semiconductor substrate. A gate electrode is formed on the gate insulation layer. An impurity region is formed in the active region adjacent the gate electrode. A silicide thin film such as a cobalt silicide thin film is formed to a thickness of less than approximately 200 ? in the impurity region.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: June 10, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Shin Kwon, Joon-Yong Joo, Kwang-Ok Koh, Sung-Bong Kim
  • Patent number: 7372160
    Abstract: A protective barrier layer, formed of a material such as titanium or titanium nitride for which removal by chemical mechanical polishing (CMP) is primarily mechanical rather than primarily chemical, formed on a conformal tungsten layer. During subsequent CMP to pattern the tungsten layer, upper topological regions of the protective barrier layer (such as those overlying interlevel dielectric regions) are removed first, exposing the tungsten under those regions to removal, while protective barrier layer regions over lower topological regions (such as openings within the interlevel dielectric) remain to prevent chemical attack of underlying tungsten. CMP patterned tungsten is thus substantially planar with the interlevel dielectric without dishing, even in large area tungsten structures such as MOS capacitor structures.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: May 13, 2008
    Assignee: STMicroelectronics, Inc.
    Inventors: Charles R. Spinner, III, Rebecca A. Nickell, Todd H. Gandy
  • Patent number: 7312531
    Abstract: Semiconductor devices and methods for fabricating the same. The devices include a substrate, a catalyst layer, a second dielectric layer, and carbon nanotubes (CNTs). The substrate comprises an overlying first dielectric layer with an electrode embedded therein. The catalyst layer overlies the electrode and the first dielectric layer and substantially comprises Co and M1, wherein M1 is selected from a group consisting of W, P, B, Bi, Ni, and a combination thereof. The second dielectric layer overlies the catalyst layer and comprises an opening exposing parts of the catalyst layer. The carbon nanotubes (CNTs) are disposed on the exposed catalyst layer and electrically connect the electrode.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: December 25, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hui-Lin Chang, Yung-Cheng Lu, Chung-Chi Ko, Pi-Tsung Chen, Shau-Lin Shue, Chien-Hsueh Shih, Hung-Wen Su, Ming-Hsing Tsai
  • Patent number: 7294893
    Abstract: A method for use in the fabrication of a gate electrode includes providing a gate oxide layer and forming a titanium boride layer on the oxide layer. An insulator cap layer is formed on the titanium boride layer and thereafter, the gate electrode is formed from the titanium boride layer. A barrier layer may be formed on the oxide layer prior to forming the titanium boride layer with the gate electrode being formed from the barrier layer and the titanium boride layer. Further, a polysilicon layer may be formed on the gate oxide layer prior to forming the titanium boride layer with the gate electrode being formed from the titanium boride layer and the polysilicon layer. Yet further, a polysilicon layer may be formed on the gate oxide layer and a barrier layer formed on the polysilicon layer prior to forming the titanium boride layer. The gate electrode is then formed from the polysilicon layer, the barrier layer, and the titanium boride layer.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: November 13, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 7294565
    Abstract: A method for sealing an exposed surface of a wire bond pad with a material that is capable of preventing a possible chemical attack during electroless deposition of Ni/Au pad metallurgy is provided. Specifically, the present invention provides a method whereby a TiN/Ti or TiN/Al cap is used as a protective coating covering exposed surfaces of a wire bond pad. The TiN/Ti or TiN/Al cap is not affected by alkaline chemistries used in forming the Ni/Au metallization, yet it provides a sufficient electrical pathway connecting the bond pads to the Ni/Au pad metallization.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: November 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Charles R. Davis, Ronald D. Goldblatt, William F. Landers, Sanjay C. Mehta
  • Patent number: 7256501
    Abstract: In a semiconductor device having a package structure in which lead terminals connected to electrodes on both of the upper and lower surfaces of a semiconductor chip are exposed from both of the upper and lower surfaces and side surfaces of a sealing body formed of resin, electrodes of the semiconductor chip and the lead terminals are connected by Pb-free connection parts each having a configuration of connection layer/stress buffer layer/connection layer. In each connection part, the connection layer is formed of an inter-metallic compound layer having a melting point of 260° C. or higher or Pb-free solder having a melting point of 260° C. or higher, and the stress buffer layer is formed of a metal layer having a melting point of 260° C. or higher and having a function to buffer the thermal stress.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: August 14, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Masahide Okamoto, Osamu Ikeda, Akira Muto, Yukihiro Satou
  • Patent number: 7245018
    Abstract: A semiconductor device having good TFT characteristics is realized. By using a high purity target as a target, using a single gas, argon (Ar), as a sputtering gas, setting the substrate temperature equal to or less than 300° C., and setting the sputtering gas pressure from 1.0 Pa to 3.0 Pa, the film stress of a film is made from ?1×1010 dyn/cm2 to 1×10 dyn/cm2. By thus using a conducting film in which the amount of sodium contained within the film is equal to or less than 0.3 ppm, preferably equal to or less than 0.1 ppm, and having a low electrical resistivity (equal to or less than 40 ??·cm), as a gate wiring material and a material for other wirings of a TFT, the operating performance and the reliability of a semiconductor device provided with the TFT can be increased.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: July 17, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Keiji Sato, Shunpei Yamazaki
  • Patent number: 7179743
    Abstract: A thin Titanium underlayer 22 is included beneath a Titanium rich Titanium Nitride layer 28 in a metal line 20 on a silicon substrate to reduce stress voiding.
    Type: Grant
    Filed: January 20, 2003
    Date of Patent: February 20, 2007
    Assignee: Systems on Silicon Manufacturing Company Pte. Ltd.
    Inventors: Khim Hong Ng, Yeow Keong Ng, Kar Hwee Koh
  • Patent number: 7161211
    Abstract: Aluminum-containing films having an oxygen content within the films. The aluminum-containing film is formed by introducing hydrogen gas along with argon gas into a sputter deposition vacuum chamber during the sputter deposition of aluminum or aluminum alloys onto a semiconductor substrate. The aluminum-containing film so formed is hillock-free and has low resistivity, relatively low roughness compared to pure aluminum, good mechanical strength, and low residual stress.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: January 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kanwal K. Raina, David H. Wells
  • Patent number: 7148570
    Abstract: Low resistivity, C54-phase TiSi2 is formed in narrow lines on heavily doped polysilicon by depositing a bi-layer silicon film. A thin, undoped amorphous layer is deposited on top of a heavily doped layer. The thickness of the undoped amorphous Si is about 2.4 times the thickness of the subsequently deposited Ti film. Upon thermal annealing above 750° C., the undoped amorphous Si is consumed by the reaction of Ti+Si to form TiSi2, forming a low-resistivity, C54-phase TiSi2 film on top of heavily doped polysilicon. The annealing temperature required to form C54 phase TiSi2 is reduced by consuming undoped amorphous Si in the reaction of Ti and Si, as compared with heavily doped polysilicon. Narrow lines (<0.3 ?m) of low-resistivity, C54-phase TiSi2 films on heavily doped polysilicon are thus achieved.
    Type: Grant
    Filed: August 13, 2001
    Date of Patent: December 12, 2006
    Assignee: SanDisk 3D LLC
    Inventors: Scott Brad Herner, Michael A. Vyvoda
  • Patent number: 7129531
    Abstract: A programmable resistance memory element comprising an adhesion layer between the programmable resistance material and at least one of the electrodes. Preferably, the adhesion layer is a titanium rich titanium nitride composition.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: October 31, 2006
    Assignee: Ovonyx, Inc.
    Inventors: Jeffrey P. Fournier, Sergey A. Kostylev
  • Patent number: 7122903
    Abstract: A semiconductor device has anisotropically formed via holes through a PMD layer. The anisotropic geometry of the via holes results in the diameter of a via hole over a gate structure being equal to the diameter of a via hole not over the gate structure. The via holes are formed by depositing a silicon layer and an antireflective layer over the PMD layer. The silicon layer and the antireflective layer are etched to have holes with a regular taper. The holes through the PMD are anisotropically etched so as to have straight walls.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: October 17, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Hideyuki Kanzawa
  • Patent number: 7119443
    Abstract: The semiconductor device is formed according to the following steps. A TiN film 71 and a W film 72 are deposited on a silicon oxide film 64 including the inside of a via-hole 66 by the CVD method and thereafter, the W film 72 and TiN film 71 on the silicon oxide film 64 are etched back to leave only the inside of the via-hole 66 and form a plug 73. Then, a TiN film 74, Al-alloy film 75, and Ti film 76 are deposited on the silicon oxide film 64 including the surface of the plug 73 by the sputtering method and thereafter, the Ti film 76, Al-alloy film 75, and TiN film 74 are patterned to form second-layer wirings 77 and 78.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: October 10, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Yoshitaka Nakamura, Tsuyoshi Tamaru, Naoki Fukuda, Hidekazu Goto, Isamu Asano, Hideo Aoki, Keizo Kawakita, Satoru Yamada, Katsuhiko Tanaka, Hiroshi Sakuma, Masayoshi Hirasawa
  • Patent number: 7087997
    Abstract: Tungsten studs of a size comparable to vias are provided to integrate and interface between copper and aluminum metallization layers in an integrated circuit and/or package therefor by lining a via opening, preferably with layers of tantalum nitride and PVD tungsten as a barrier against the corrosive effects of tungsten fluoride on copper. The reduced size of the tungsten studs relative to known interface structures allows wiring and connection pads to be formed in a single aluminum layer, improving performance and reducing process time and cost.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Edward E. Cooney, III, Jeffrey P. Gambino, John E. Heidenreich, III, Hyun Koo Lee, Mark D. Levy, Baozhen Li, Stephen E. Luce, Thomas L. McDevitt, Anthony K. Stamper, Kwong Hon Wong, Sally J. Yankee
  • Patent number: 7071563
    Abstract: An interconnect structure of a semiconductor device includes a tungsten plug (14) deposited in a via or contact window (11). A barrier layer (15) separates the tungsten plug (14) from the surface of a dielectric material (16) within which the contact window or via (11) is formed. The barrier layer (15) is a composite of at least two films. The first film formed on the surface of the dielectric material (16) within the via (11) is a tungsten silicide film (12). The second film is a tungsten film (13) formed on the tungsten silicide film (12). A tungsten plug (14) is formed on the tungsten film (13) to complete interconnect structure. The barrier layer (15) is deposited using a sputtering technique performed in a deposition chamber. The chamber includes tungsten silicide target (19) from which the tungsten silicide film (12) is deposited, and a tungsten coil (20) from which the tungsten film (20) is deposited.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: July 4, 2006
    Assignee: Agere Systems, Inc.
    Inventors: Siddhartha Bhowmik, Sailesh Mansinh Merchant, Darrell L. Simpson
  • Patent number: 7045831
    Abstract: A semiconductor device of the present invention comprises a semiconductor chip, metal layers formed on a first main surface of the semiconductor chip, a first conductive layer layered on a second main surface of the semiconductor chip, consisting of a plurality of conductive films, a second conductive layer layered on the metal layer, having a layered structure consisting of a plurality of conductive films formed in the same order as in the first conductive layer as viewed from the semiconductor chip and a third conductive layer layered on the metal layer, having a layered structure consisting of a plurality of conductive films formed in the same order as in the first conductive layer as viewed from the semiconductor chip. The plurality of conductive films comprise a nickel film and a low contact resistance conductive film having contact resistance with the semiconductor chip which is lower than that of the nickel film.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: May 16, 2006
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Atsushi Narazaki
  • Patent number: 6984891
    Abstract: A typical integrated-circuit fabrication requires interconnecting millions of microscopic transistors and resistors with aluminum wires. Yet, aluminum wires have greater electrical resistance and are less reliable than copper wires. Unfortunately, current techniques for making copper wires are time-consuming and inefficient. Accordingly, the invention provides a method of making wires or interconnects from copper or other metals. One embodiment entails forming a first diffusion barrier inside a trench using ionized-magnetron sputtering for better conformal coating of the trench, and a second diffusion barrier outside the trench using jet-vapor deposition. The jet-vapor deposition has an acute angle of incidence which prevents deposition within the trench and thus eliminates conventional etching steps that would otherwise be required to leave the trench free of this material. After formation of the two diffusion barriers, the trench is filled with metal and annealed.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 10, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6953996
    Abstract: Coplanar waveguides having a deep trench between a signal line and a ground plane and methods of their fabrication are disclosed. An oxide layer is provided over a silicon substrate and a photoresist is applied and patterned to define areas where the signal line and the ground plane will be formed. A barrier layer is provided over the oxide layer in the defined areas. A metal layer is then deposited over the barrier layer. An etch mask is deposited over the metal layer for the subsequent trench formation. The photoresist and the underlying portion of the oxide and barrier layers are removed and a deep trench is formed in the substrate between the signal line and the ground plane using etching through the mask.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: October 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6940094
    Abstract: An electronic device is provided using wiring comprising aluminum to prevent hillock or whisker from generating, wherein the wiring contains oxygen atoms at a concentration of 8×1018 atoms·cm?3 or less, carbon atoms at a concentration of 5×1018 atoms·cm?3 or less, and nitrogen atoms at a concentration of 7×1017 atoms·cm?3 or less; furthermore, a silicon nitride film is formed on the aluminum gate, and an anodic oxide film is formed on the side planes thereof.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: September 6, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Teramoto
  • Patent number: 6906420
    Abstract: The semiconductor device of the present invention includes: a substrate; a first conductor film supported by the substrate; an insulating film formed on the substrate to cover the first conductor film, an opening being formed in the insulating film; and a second conductor film, which is formed within the opening of the insulating film and is in electrical contact with the first conductor film. The second conductor film includes: a silicon-containing titanium nitride layer formed within the opening of the insulating film; and a metal layer formed over the silicon-containing titanium nitride layer. The metal layer is mainly composed of copper.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 14, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takeshi Harada
  • Patent number: 6900461
    Abstract: A semiconductor device 1 obtained by depositing, on a substrate 2, a gate electrode 4 formed by a conductive thin film containing Mo atoms and Ag atoms, a gate insulating film 6, an ?-Si:H(i) film 8, a channel protection layer 10, an ?-Si:H(n) film 12, a source/drain electrode 14 formed by a conductive thin film containing Mo atoms and Ag atoms, a source/drain insulating film 16, and a drive electrode 18. By using a conductive thin film containing Mo atoms and Ag atoms, the gate electrode 4 and the source/drain electrode 14 are formed to manufacture the semiconductor device 1. Thus, the conductive thin film for a semiconductor device, having high adhesion strength to a substrate, an insulating layer, and the like, a semiconductor device which operates stably without deteriorating the performance, and a method of efficiently manufacturing the conductive thin film and the semiconductor device can be provided.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: May 31, 2005
    Assignee: Idemitsu Kosan Co., Ltd.
    Inventors: Kazuyoshi Inoue, Shigeo Matsuzaki
  • Patent number: 6882052
    Abstract: A method and structure for forming a refractory metal liner, includes depositing a layer of refractory metal on a first conductive layer, at least half of the depositing being carried out in the presence of an amount of passivating agent that is sufficient to impede subsequent reaction of at least a top half of the layer of refractory metal with the first conductive layer and is less than an amount of passivating agent necessary to form a stoichiometric refractory metal with the passivating agent, and annealing the refractory metal and the first conductive layer in a first element ambient, thereby forming a stoichiometric refractory metal with the first element in at least a portion of the top half of the layer of refractory metal.
    Type: Grant
    Filed: September 20, 2003
    Date of Patent: April 19, 2005
    Assignee: International Business Machines Corporation
    Inventor: William J. Murphy
  • Patent number: 6879043
    Abstract: The electrode structure of this invention includes a silicon-containing film containing silicon as a principal constituent; a barrier metal layer of titanium nitride rich in titanium as compared with a stoichiometric ratio formed on the silicon-containing film; and a metal film with a high melting point formed on the barrier metal layer.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: April 12, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Michikazu Matsumoto, Naohisa Sengoku
  • Patent number: 6870266
    Abstract: The present invention provides an oxide semiconductor electrode which can realize a combination of high transparency with large surface area and is highly responsive to ultraviolet light, as well as to visible light. The oxide semiconductor electrode comprises a conductive substrate and an oxide semiconductor layer provided on the conductive substrate. The oxide semiconductor layer is a porous layer comprising porous titania particles which have been joined to each other to define interparticulate communicating pores. Preferably, the pores possessed by the titania particles per se have a diameter of 10 to 40 nm, the interparticulate communicating pores have a diameter of 10 to 70 nm, and the titania particles have an average diameter of 10 to 70 nm.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: March 22, 2005
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Masateru Nakamura, Midori Mori
  • Patent number: 6867130
    Abstract: Semiconductor devices exhibiting reduced gate resistance and reduced silicide spiking in source/drain regions are fabricated by forming thin metal silicide layers on the gate electrode and source/drain regions and then selectively resilicidizing the gate electrodes. Embodiments include forming the thin metal silicide layers on the polysilicon gate electrodes and source/drain regions, depositing a dielectric gap filling layer, as by high density plasma deposition, etching back to selectively expose the silicidized polysilicon gate electrodes and resilicidizing the polysilicon gate electrodes to increase the thickness of the metal silicide layers thereon. Embodiments further include resilicidizing the polysilicon gate electrodes including a portion of the upper side surfaces forming mushroom shaped metal silicide layers.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: March 15, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Olov B. Karlsson, Simon S. Chan, William G. En, Mark W. Michael