Characterized By Their Composition, E.g., Multilayer Masks, Materials (epo) Patents (Class 257/E21.035)
  • Patent number: 8153512
    Abstract: A method of forming a patterned layer, including the steps of: (i) depositing via a liquid medium a first material onto a substrate to form a first body on said substrate; (ii) depositing via a liquid medium a second material onto said substrate to form a second body, wherein said first body is used to control said deposition of said second material so as to form a patterned structure including said first and second bodies; and (iii) using said patterned structure to control the removal of selected portions of a layer of material in a dry etching process or in a wet etching process using a bath of etchant.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: April 10, 2012
    Assignee: Plastics Logic Limited
    Inventor: Henning Sirringhaus
  • Patent number: 8114306
    Abstract: Methods involving the self-assembly of block copolymers are described herein, in which by beginning with openings (in one or more substrates) that have a targeted CD (critical dimension), holes are formed, in either regular arrays or arbitrary arrangements. Significantly, the percentage variation in the average diameter of the formed holes is less than the percentage variation of the average diameter of the initial openings. The formed holes (or vias) can be transferred into the underlying substrate(s), and these holes may then be backfilled with material, such as a metallic conductor. Preferred aspects of the invention enable the creation of vias with tighter pitch and better CD uniformity, even at sub-22 nm technology nodes.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: February 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Kafai Lai, Wai-Kin Li, Young-Hye Na, Charles Rettner, Daniel P. Sanders, Da Yang
  • Patent number: 8071487
    Abstract: A stacked structure for patterning a material layer to form an opening pattern with a predetermined opening width in the layer is provided. The stacked structure includes an underlayer, a silicon rich organic layer, and a photoresist layer. The underlayer is on the material layer. The silicon rich organic layer is between the underlayer and the photoresist layer. The thickness of the photoresist layer is smaller than that of the underlayer and larger than two times of the thickness of the silicon rich organic layer. The thickness of the underlayer is smaller than three times of the predetermined opening width.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: December 6, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Meng-Jun Wang, Yi-Hsing Chen, Jiunn-Hsiung Liao, Min-Chieh Yang, Chuan-Kai Wang
  • Patent number: 8030712
    Abstract: A method for protecting a circuit component on a semiconductor substrate from a plasma etching or other removal process includes forming a screening layer over an auxiliary layer to conceal at least an area of the auxiliary layer that overlays at least a portion of the circuit component, such as for example a high-ohmic poly resistor. The method transfers a pattern defined by a mask onto the screening layer by selectively removing portions of the screening layer in accordance with the pattern. Portions of the auxiliary layer that are not protected by the screening layer are removed using a plasma gas selective to the auxiliary layer material, without removing the area of the auxiliary layer that overlays the portion of the circuit component, thereby protecting the circuit component from the plasma gas via the screening layer and auxiliary layer.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: October 4, 2011
    Assignees: STMicroelectronics, Inc., STMicroelectronics SA
    Inventors: Olivier Le Neel, Olivier Girard, Fabio Ferrari
  • Patent number: 8030156
    Abstract: Methods of etching into silicon oxide-containing material with an etching ambient having at least 75 volume percent helium. The etching ambient may also include carbon monoxide, O2 and one or more fluorocarbons. The openings formed in the silicon oxide-containing material may be utilized for fabrication of container capacitors, and such capacitors may be incorporated into DRAM.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: October 4, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Russell A. Benson
  • Patent number: 8021983
    Abstract: A method of forming a pattern of an inorganic material film, which method is more versatile, easy, and practical. The method includes the steps of: (a) forming a sacrifice layer having a pattern on a substrate by employing a material having a different thermal expansion coefficient from that of an inorganic material of the inorganic material film; (b) forming an inorganic material layer on the substrate, on which the sacrifice layer has been formed, at a predetermined deposition temperature by employing the inorganic material; (c) lowering a temperature of at least the inorganic material layer to produce cracks in the inorganic material layer formed on the sacrifice layer; and (d) removing the sacrifice layer and the inorganic material layer formed thereon.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: September 20, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Yoshikazu Hishinuma, Takamichi Fujii
  • Patent number: 7989355
    Abstract: The present disclosure provides a method of fabricating a semiconductor device that includes forming a mask layer over a substrate, forming a dummy layer having a first dummy feature and a second dummy feature over the mask layer, forming first and second spacer roofs to cover a top portion of the first and second dummy features, respectively, and forming first and second spacer sleeves to encircle side portions of the first and second dummy features, respectively, removing the first spacer roof and the first dummy feature while protecting the second dummy feature, removing a first end portion and a second end portion of the first spacer sleeve to form spacer fins, and patterning the mask layer using the spacer fins as a first mask element and the second dummy feature as a second mask element.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: August 2, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Shinn-Sheng Yu, Anthony Yen, Ming-Ching Chang, Jeff J. Xu
  • Patent number: 7985682
    Abstract: A method of fabricating a semiconductor device includes forming a first film on a processed film, patterning the first film into a pattern with smaller width and a space with larger width, forming a second film along upper and side surfaces of first film and an upper surface of second film, etching the second film thereby to expose upper surfaces of first film and processed film while part of second film remains along the side surface of first film, etching the first film under the condition that the first film has higher etch selectivity than the second film, etching an upper part of second film under the condition that the second film has a higher etch selectivity than the processed film, after the first film has been etched, and etching the processed film with the second film serving as mask after the upper part of second film has been etched.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: July 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kenji Matsuzaki
  • Publication number: 20110159700
    Abstract: A film formation system and a film formation method are disclosed. The film formation method includes the following steps performed in the film formation system that includes a container containing liquid, a water draining means for draining the liquid, a ring-shaped component installed in the container, and a carrying component installed in the liquid in the container for carrying at least a substrate: enabling the carrying component in the liquid and enabling the ring-shaped component to float on the liquid; when a film layer that is composed of nano-spheres is formed on the liquid, locating the film layer in a ring of the ring-shaped component; and removing the liquid, allowing the film layer to move downward in accordance with the ring-shaped component and be formed on the substrate, thereby preventing the nano-spheres from contacting an inner wall of the container and bursting, through the installation of the ring-shaped component.
    Type: Application
    Filed: September 28, 2010
    Publication date: June 30, 2011
    Applicant: AUROTEK CORPORTION
    Inventor: Cheng Kuo Yeh
  • Patent number: 7935639
    Abstract: Methods used during the manufacture of a semiconductor device, such as one that includes forming a plurality of vertically oriented first support features. Each feature comprises first and second sidewalls and the first support features are formed to have a first pitch. A plurality of first mask spacers are formed, wherein one first mask spacer is formed on each first support feature sidewall, and each first mask spacer comprises an exposed, vertically oriented sidewall. A plurality of vertically oriented second support features are formed, wherein one second support feature is formed on the exposed, vertically oriented sidewall of each first mask spacer, and each second support feature is separated from an adjacent second support feature by a gap. A plurality of second mask features are formed, wherein one second mask feature is formed within each gap.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: May 3, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Mingtao Li
  • Publication number: 20110081784
    Abstract: A manufacturing method of a semiconductor device includes: forming step of forming an etching mask on a second main face of a substrate, the etching mask being made of Cu or Cu alloy and having an opening, the second main face being on an opposite side of a first main face of the substrate where a nitride semiconductor layer is provided; a first etching step of applying a dry etching to the second main face of the substrate with use of the etching mask so that all of or a part of the nitride semiconductor layer is left; a removing step of removing the etching mask after the first etching step; and a second etching step of dry-etching the left nitride semiconductor layer after the removing step.
    Type: Application
    Filed: September 29, 2010
    Publication date: April 7, 2011
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventors: Toshiyuki Kosaka, Haruo Kawata, Tsutomu Komatani
  • Patent number: 7915160
    Abstract: Methods are provided for forming contacts for a semiconductor device. The methods may include depositing various materials, such as polysilicon, nitride, oxide, and/or carbon materials, over the semiconductor device. The methods may also include forming a contact hole and filling the contact hole to form the contact for the semiconductor device.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: March 29, 2011
    Assignee: GlobalFoundries Inc.
    Inventors: Cyrus E. Tabery, Srikanteswara Dakshina-Murthy, Chih-Yuh Yang, Bin Yu
  • Patent number: 7902035
    Abstract: A semiconductor device having multiple fin heights is provided. Multiple fin heights are provided by using multiple masks to recess a dielectric layer within a trench formed in a substrate. In another embodiment, an implant mold or e-beam lithography are utilized to form a pattern of trenches in a photoresist material. Subsequent etching steps form corresponding trenches in the underlying substrate. In yet another embodiment, multiple masking layers are used to etch trenches of different heights separately. A dielectric region may be formed along the bottom of the trenches to isolate the fins by performing an ion implant and a subsequent anneal.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: March 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chen-Nan Yeh, Yu-Rung Hsu
  • Patent number: 7893479
    Abstract: A semiconductor structure. A hard mask layer is on a top substrate surface of a semiconductor substrate. The hard mask layer includes a hard mask layer opening through which a portion of the top substrate surface is exposed to a surrounding ambient. The hard mask layer includes a pad oxide layer on the top substrate surface, a nitride layer on the pad oxide layer, a BSG (borosilicate glass) layer on top of the nitride layer, and an ARC (anti-reflective coating) layer on top of the BSG layer. A BSG side wall surface of the BSG layer is exposed to the surrounding ambient through the hard mask layer opening.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: June Cline, Dinh Dang, Mark Lagerquist, Jeffrey C. Maling, Lisa Y. Ninomiya, Bruce W. Porth, Steven M. Shank, Jessica A. Trapasso
  • Patent number: 7883945
    Abstract: A method or manufacturing an array substrate at a low cost. Silicon patterns are formed. A first impurity is implanted at a high concentration. Gate metal patterns are formed. A second impurity is implanted. The first impurity is implanted at a low concentration. A pixel electrode is formed. The first impurity is simultaneously implanted into partial portions of the pixel pattern part, the storage pattern part, and the driving pattern part.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: February 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Goo Jung, Hyun-Uk Oh
  • Patent number: 7867911
    Abstract: A method for forming a pattern in a semiconductor device includes forming an etch target layer, forming a hard mask over the etch target layer, the hard mask including a multiple-layer stack structure comprising a bottom layer, a transformed layer, and an upper layer, wherein the transformed layer is formed by transforming a surface of the bottom layer. The hard mask and the etch target layer are etched.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki-Won Nam, Ky-Hyun Han
  • Patent number: 7867925
    Abstract: The main object of the present invention is to provide a method for manufacturing efficiently a pattern formed structure which has a surface having a property-varied pattern and can be used to manufacture a color filter or the like. In order to achieve the object, the present invention provides a method for manufacturing a pattern formed structure, comprising: a patterning substrate preparing process of preparing a patterning substrate having a base material and a property variable layer which is formed on the base material and has a property variable by action of a photocatalyst based on irradiation with energy; and an energy radiating process of arranging a photocatalyst containing layer side substrate having a base body and a photocatalyst containing layer comprising at least the photocatalyst, and the patterning substrate so as to keep a given interval between the photocatalyst containing layer and the property variable layer, and then radiating energy onto the resultant at an intensity of 0.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: January 11, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Hironori Kobayashi, Yusuke Uno
  • Patent number: 7825000
    Abstract: A magnetic memory device including a Magnetic Tunnel Junction (MTJ) device comprises a substrate and Front End of Line (FEOL) circuitry. A Via level (VA) InterLayer Dielectric (ILD) layer, a bottom conductor layer, and an MTJ device formed over the top surface of the VA ILD layer are formed over a portion of the substrate. An alignment region including alignment marks extends through the bottom conductor layer and extends down into the device below the top surface of the VA ILD layers is juxtaposed with the MJT device.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: November 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sivananda K. Kanakasabapathy, Solomon Assefa
  • Publication number: 20100273325
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. A mask layer is formed over a gate electrode portion and a wiring portion adjacent to the gate electrode portion. The mask layer includes a first portion covering the wiring portion. Then, at least a part of the first portion is removed.
    Type: Application
    Filed: April 19, 2010
    Publication date: October 28, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kazuo YAMAZAKI
  • Patent number: 7804148
    Abstract: An opto-thermal annealing mask stack layer includes a thermal dissipative layer located over a substrate. A reflective layer is located upon the thermal dissipative layer. A transparent capping layer, that may have a thickness from about 10 to about 100 angstroms, is located upon the reflective layer. The opto-thermal annealing mask layer may be used as a gate electrode within a field effect device.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: September 28, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis L. Hsu, Jack A. Mandelman, Chandrasekhar Narayan, Chun-Yung Sung
  • Patent number: 7786469
    Abstract: A silicon/germanium (SiGe) superlattice thermal sensor is provided with a corresponding fabrication method. The method forms an active CMOS device in a first Si substrate, and a SiGe superlattice structure on a second Si-on-insulator (SOI) substrate. The first substrate is bonded to the second substrate, forming a bonded substrate. An electrical connection is formed between the SiGe superlattice structure and the CMOS device, and a cavity is formed between the SiGe superlattice structure and the bonded substrate.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: August 31, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-Shen Maa, Jinke Tang, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Patent number: 7776750
    Abstract: A method for forming a pattern in a semiconductor device includes performing a double exposure process for a multifunctional hard mask layer over a semiconductor substrate using a line/space mask to form a multifunctional hard mask layer pattern having a first contact hole region. The multifunctional hard mask layer pattern is subjected to a resist flow process to form a multifunctional hard mask layer pattern having a second contact hole region with rounded edges, where the size of the second contact hole region is smaller than that of the first contact hole region.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: August 17, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seo Min Kim
  • Patent number: 7772126
    Abstract: An interlayer is disposed on a pattern surface of a substrate. A buried hard mask may be provided on the interlayer. The buried hard mask includes a template opening having a template length along a line axis and a template width perpendicular thereto. The buried hard mask is filled with a fill material. A top mask is provided above the filled buried hard mask. The top mask includes a trim opening crossing the template opening and having a trim width along the line axis that is smaller than the template length. By etching the fill material and the interlayer using the top and buried hard mask a process section of the pattern surface may be exposed such that a target length and width of the process section result from the template and the trim widths. The planar dimensions of the process section may be decoupled from each other.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: August 10, 2010
    Assignee: Qimonda AG
    Inventor: Lars Bach
  • Patent number: 7736985
    Abstract: The performance of a sensor in a semiconductor device can be improved. A plurality of oscillators forming an ultrasonic sensor are arranged on a main surface of a semiconductor chip. A negative-type photosensitive insulating film which protects the oscillators is deposited on an uppermost layer of the semiconductor chip. At the time of exposure for forming an opening in the photosensitive insulating film, the semiconductor chip is divided into a plurality of exposure areas and exposed, and then, the exposure areas are jointed so that the entire area is exposed. At this time, a stitching exposure area is arranged so that a center of the stitching exposure area in a width direction in the joint portion of the adjacent exposure areas is positioned at a center of a line which connects centers of oscillators located above and below the stitching exposure area.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: June 15, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyuki Enomoto, Katsuya Hayano, Shuntaro Machida
  • Patent number: 7718081
    Abstract: A method of etching a substrate is provided. The method of etching a substrate includes transferring a pattern into the substrate using a double patterned amorphous carbon layer on the substrate as a hardmask. Optionally, a non-carbon based layer is deposited on the amorphous carbon layer as a capping layer before the pattern is transferred into the substrate.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Jim Zhongyi He, Sang H. Ahn, Meihua Shen, Hichem M'Saad, Wendy H. Yeh, Christopher D. Bencher
  • Patent number: 7704810
    Abstract: In a display device manufacturing method including a step of forming a semiconductor film above a substrate and a step of implanting an impurity to each of a first semiconductor film in a first region of the substrate, a second semiconductor film in a second region outside the first region, and a third semiconductor film in a third region outside the first and second regions, the implanting step includes implanting an impurity in the third region so as to form a capacitor.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: April 27, 2010
    Assignee: Hitachi Displays, Ltd.
    Inventors: Eiji Oue, Yasukazu Kimura, Daisuke Sonoda, Toshiyuki Matsuura, Takeshi Kuriyagawa
  • Patent number: 7704776
    Abstract: Embodiments relate to an image sensor and a method for manufacturing an image sensor that may prevent a photoresist pattern from remaining on gates by forming a floating diffusion area faster than the gates. According to embodiments, since the gates may not be influenced by an ion implantation process, current characteristics and operation reliability may be enhanced. According to embodiments, the method may include forming dummy ion implantation mask patterns for forming a floating diffusion area over an epitaxial layer and forming an ion implantation mask pattern over the epitaxial layer and at least a portion of the dummy ion implantation mask patterns, so as to form the floating diffusion area by performing an ion implantation process.
    Type: Grant
    Filed: November 7, 2007
    Date of Patent: April 27, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Su Park
  • Patent number: 7700473
    Abstract: A method for fabricating a gated semiconductor device, and the device resulting from performing the method. In a preferred embodiment, the method includes forming a hard mask for use in gate formation on one or more layers of alternately insulating and conducting material that have been formed on a substrate. The hard mask preferably includes three layers; a lower nitride layer, a middle oxide, and an upper nitride layer. In this embodiment, the middle oxide layer is formed with the rest of the hard mask, and then reduced in a lateral dimension, preferably using a DHF dip. A dielectric layer formed over the gate structure, including the hard mask, then etched back, self-aligns to be reduced-dimension oxide layer. In addition, where two conducting, that is gate layers are present, the lower layer is laterally reduced in dimension on at least one side to create an undercut.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: April 20, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Chang Liu, Ming-Hui Shen, Chi-Hsin Lo, Chia-Shiung Tsai, Yi-Shin Chu
  • Patent number: 7696101
    Abstract: A method used during the manufacture of a semiconductor device comprises the formation of a first patterned layer having individual features of a first density. Through the formation and etching of various layers, for example conformal layers and a spun-on layer, a second patterned layer results which comprises individual features of a second density, which is about three times the first density. An in-process semiconductor apparatus formed using the method, and a system comprising the semiconductor apparatus formed according to the method, is also described.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: April 13, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Mingtao Li
  • Patent number: 7696033
    Abstract: A method of fabricating a Complementary Metal-Oxide Semiconductor (CMOS) Thin Film Transistor (TFT) using a reduced number of masks includes: forming a buffer layer on the entire surface of a substrate; forming polysilicon and photoresist layers on the entire surface of the substrate having the buffer layer; exposing and developing the photoresist layer to form a first photoresist pattern having a first thickness in a region where a semiconductor layer of a first TFT is to be formed, a second thickness in a region where a channel and a Lightly Doped Drain (LDD) region of a second TFT are to be formed, and a third thickness in a region where source and drain regions of the second TFT are to be formed; etching the polysilicon layer using the first photoresist pattern as a mask to pattern the semiconductor layers of the first and second TFTs; performing a first ashing process on the first photoresist pattern to form a second photoresist pattern where the region having the third thickness has been removed from th
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: April 13, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventor: Eui-Hoon Hwang
  • Patent number: 7695982
    Abstract: A wafer comprising a low-k dielectric layer is refurbished for reuse. Initially, a removable layer is provided on the wafer. The low-k dielectric layer is formed over the removable layer. The overlying low-k dielectric layer is removed from the wafer by etching away the removable layer by at least partially immersing the wafer in an etching solution. Thereafter, another low-k dielectric layer can be formed over another removable layer.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: April 13, 2010
    Assignee: Applied Matreials, Inc.
    Inventors: Hong Wang, Krishna Vepa, Paul V. Miller
  • Publication number: 20100086875
    Abstract: A method of making a device includes forming an underlying mask layer over an underlying layer, forming a first mask layer over the underlying mask layer, patterning the first mask layer to form first mask features, undercutting the underlying mask layer to form underlying mask features using the first mask features as a mask, removing the first mask features, and patterning the underlying layer using at least the underlying mask features as a mask.
    Type: Application
    Filed: October 6, 2008
    Publication date: April 8, 2010
    Inventors: Chung-Ming Wang, Steven Maxwell, Paul Wai Kie Poon, Yung-Tin Chen
  • Patent number: 7667281
    Abstract: Broadly speaking, methods and an apparatus are provided for removing an inorganic material from a substrate. More specifically, the methods provide for removing the inorganic material from the substrate through exposure to a high density plasma generated using an inductively coupled etching apparatus. The high density plasma is set and controlled to isotropically contact particular regions of the inorganic material to allow for trimming and control of a critical dimension associated with the inorganic material.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: February 23, 2010
    Assignee: Lam Research Corporation
    Inventors: C. Robert Koemtzopoulos, Shibu Gangadharan, Chris G. N. Lee, Alan Miller
  • Patent number: 7662721
    Abstract: A hard mask layer stack for patterning a layer to be patterned includes a carbon layer disposed on top of the layer to be patterned, a first layer of a material selected from the group of SiO2 and SiON disposed on top of the carbon layer and a silicon layer disposed on top of the first layer. A method of patterning a layer to be patterned includes providing the above described hard mask layer stack on the layer to be patterned and patterning the silicon hard mask layer in accordance with a pattern to be formed in the layer that has to be patterned.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: February 16, 2010
    Assignee: Infineon Technologies AG
    Inventors: Dirk Manger, Hocine Boubekeur, Martin Verhoeven, Nicolas Nagel, Thomas Tatry, Dirk Caspary, Matthias Markert
  • Patent number: 7648872
    Abstract: Methods of etching into silicon oxide-containing material with an etching ambient having at least 75 volume percent helium. The etching ambient may also include carbon monoxide, O2 and one or more fluorocarbons. The openings formed in the silicon oxide-containing material may be utilized for fabrication of container capacitors, and such capacitors may be incorporated into DRAM.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: January 19, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Russell A. Benson
  • Patent number: 7645660
    Abstract: A method for protecting a circuit component on a semiconductor substrate from a plasma etching or other removal process includes forming a screening layer over an auxiliary layer to conceal at least an area of the auxiliary layer that overlays at least a portion of the circuit component, such as for example a high-ohmic poly resistor. The method transfers a pattern defined by a mask onto the screening layer by selectively removing portions of the screening layer in accordance with the pattern. Portions of the auxiliary layer that are not protected by the screening layer are removed using a plasma gas selective to the auxiliary layer material, without removing the area of the auxiliary layer that overlays the portion of the circuit component, thereby protecting the circuit component from the plasma gas via the screening layer and auxiliary layer.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: January 12, 2010
    Assignees: STMicroelectronics, Inc., STMicroelectronics SA
    Inventors: Olivier Le Neel, Olivier Girard, Fabio Ferrari
  • Patent number: 7638441
    Abstract: A method forms a hydrocarbon-containing polymer film on a semiconductor substrate by a capacitively-coupled plasma CVD apparatus. The method includes the steps of: vaporizing a hydrocarbon-containing liquid monomer (C?H?X?, wherein ? and ? are natural numbers of 5 or more; ? is an integer including zero; X is O, N or F) having a boiling point of about 20° C. to about 350° C.; introducing the vaporized gas into a CVD reaction chamber inside which a substrate is placed; and forming a hydrocarbon-containing polymer film on the substrate by plasma polymerization of the gas. The liquid monomer is unsaturated and has no benzene structure.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: December 29, 2009
    Assignee: ASM Japan K.K.
    Inventors: Yoshinori Morisada, Nobuo Matsuki, Kamal Kishore Goundar
  • Patent number: 7611980
    Abstract: Single spacer processes for multiplying pitch by a factor greater than two are provided. In one embodiment, n, where n?2, tiers of stacked mandrels are formed over a substrate, each of the n tiers comprising a plurality of mandrels substantially parallel to one another. Mandrels at tier n are over and parallel to mandrels at tier n?1, and the distance between adjoining mandrels at tier n is greater than the distance between adjoining mandrels at tier n?1. Spacers are simultaneously formed on sidewalls of the mandrels. Exposed portions of the mandrels are etched away and a pattern of lines defined by the spacers is transferred to the substrate.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: November 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: David H. Wells, Mirzafer K. Abatchev
  • Patent number: 7601607
    Abstract: An embodiment of the invention shows a process to form a damascene opening preferably without hardmask overhang or dielectric layer undercut/void. The low-k dielectric material can be sandwiched in two hardmask films to form the dielectric film through which an interconnect opening is etched. A first example embodiment comprises the following. We form a lower interconnect and an insulating layer over a semiconductor structure. We form a first hardmask a dielectric layer, and a second hardmask layer, over the lower interconnect and insulating layer. We etch a first interconnect opening in the first hardmask, the dielectric layer and the second hardmask layer. Lastly, we form an interconnect in the first interconnect opening.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: October 13, 2009
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Wuping Liu, Raymond Joy, Beichao Zhang, Liang Choo Hsia, Boon Meng Seah, Shyam Pal
  • Patent number: 7602003
    Abstract: A semiconductor device structure is described, including a MOS transistor, a silicon-rich silicon nitride layer having a refractive index of about 2.00-2.30, and a dielectric layer. The silicon-rich silicon nitride layer is disposed between the MOS transistor and the dielectric layer, and covers the source/drain region, the spacer and the gate conductor of the MOS transistor.
    Type: Grant
    Filed: April 27, 2005
    Date of Patent: October 13, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Min-Hsian Chen, Ching-Hsing Hsieh
  • Patent number: 7579250
    Abstract: A semiconductor device structure is described, including a MOS transistor, a silicon-rich silicon nitride layer having a refractive index of about 2.00-2.30, and a dielectric layer. The silicon-rich silicon nitride layer is disposed between the MOS transistor and the dielectric layer, and covers the source/drain region, the spacer and the gate conductor of the MOS transistor.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: August 25, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Min-Hsian Chen, Ching-Hsing Hsieh
  • Patent number: 7573085
    Abstract: A semiconductor structure. The structure includes (a) a semiconductor substrate; (b) a hard mask layer on top of the semiconductor substrate; and (c) a hard mask layer opening in the hard mask layer. The semiconductor substrate is exposed to the atmosphere through the hard mask layer opening. The hard mask layer opening comprises a top portion and a bottom portion, wherein the bottom portion is disposed between the top portion and the semiconductor substrate. The bottom portion has a greater lateral width than the top portion.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: August 11, 2009
    Assignee: International Business Machines Corporation
    Inventors: June Cline, Dinh Dang, Mark Lagerquist, Jeffrey C. Maling, Lisa Y. Ninomiya, Bruce W. Porth, Steven M. Shank, Jessica A. Trapasso
  • Patent number: 7534723
    Abstract: Methods of forming a fine pattern include forming an underlying layer on a substrate, forming preliminary hard mask patterns having a first pitch on the underlying layer, the preliminary hard mask patterns having a first width and being spaced apart from each other by a second width smaller than the first width. The underlying layer is etched using the preliminary hard mask patterns as etch masks to thereby form preliminary underlying patterns. The preliminary hard mask patterns are pulled back, thereby forming hard mask patterns on the preliminary underlying patterns. An overlayer is formed on the substrate exposing top surfaces of the hard mask patterns. The hard mask patterns and the preliminary underlying patterns disposed below the hard mask patterns are etched using the overlayer as an etch mask, thereby forming underlying patterns having a second pitch smaller than the first pitch, and the overlayer is removed.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: May 19, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hyun Park, Jae-Hee Oh, Se-Ho Lee, Won-Cheol Jeong
  • Patent number: 7524773
    Abstract: The present invention is to provide an anti-reflective substrate, and the manufacturing method of the substrate. The method comprises the steps of: (a) providing a substrate; (b) depositing an amorphous silicon layer on the substrate; and (c) etching the amorphous silicon layer and the substrate by chemical etching in solutions, and the amorphous silicon layer is removed by the solutions. The effective reflectance of the anti-reflective substrate produced from the method of the present invention can be lower than 1%, and the absorption rate of the anti-reflective substrate is preferably from 70% to 90% in a wavelength range of 300 nm-900 nm.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: April 28, 2009
    Assignees: Tatung Company, Tatung University
    Inventors: Chiung-Wei Lin, Chein-Fu Teng, Yi-Liang Chen
  • Patent number: 7510918
    Abstract: In a transistor and a method of manufacturing the transistor, the transistor includes a dummy structure enclosing source/drain structures and channel structures. Thus, a gate electrode of the transistor may be efficiently formed over the channel structures. In addition, the source/drain structure may not grow exceedingly in an epitaxial growth process employed for forming the source/drain structure.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: March 31, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Woong Kang, Joo-Hyoung Lee
  • Patent number: 7504344
    Abstract: A method of forming a hydrocarbon-containing polymer film on a semiconductor substrate by a capacitively-coupled plasma CVD apparatus. The method includes the steps of: vaporizing a hydrocarbon-containing liquid monomer (C?H?X?, wherein ? and ? are natural numbers of 5 or more; ? is an integer including zero; X is O, N or F) having a boiling point of about 20° C. to about 350° C. which is not substituted by a vinyl group or an acetylene group; introducing the vaporized gas into a CVD reaction chamber inside which a substrate is placed; and forming a hydrocarbon-containing polymer film on the substrate by plasma polymerization of the gas.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: March 17, 2009
    Assignee: ASM Japan K.K.
    Inventors: Nobuo Matsuki, Yoshinori Morisada, Seijiro Umemoto, Jea Sik Lee
  • Patent number: 7494916
    Abstract: Design structure embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes an interconnect structure with a liner formed on roughened dielectric material in an insulating layer and a conformal liner repair layer bridging that breaches in the liner. The conformal liner repair layer is formed of a conductive material, such as a cobalt-containing material. The conformal liner repair layer may be particularly useful for repairing discontinuities in a conductive liner disposed on roughened dielectric material bordering the trenches and vias of damascene interconnect structures.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: February 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Patent number: 7470633
    Abstract: A method forms a hydrocarbon-containing polymer film on a semiconductor substrate by a capacitively-coupled plasma CVD apparatus. The method includes the steps of: vaporizing a hydrocarbon-containing liquid monomer (C?H?X?, wherein ? and ? are natural numbers of 5 or more; ? is an integer including zero; X is O, N or F) having a boiling point of about 20° C. to about 350° C.; introducing the vaporized gas into a CVD reaction chamber inside which a substrate is placed; and forming a hydrocarbon-containing polymer film on the substrate by plasma polymerization of the gas.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: December 30, 2008
    Assignee: ASM Japan K.K.
    Inventors: Nobuo Matsuki, Yoshinori Morisada, Seijiro Umemoto, Jea Sik Lee
  • Patent number: 7452825
    Abstract: In the method of forming a mask structure, a first mask is formed on a substrate where the first mask includes a first mask pattern having a plurality of mask pattern portions having openings therebetween and a second mask pattern having a corner portion of which an inner side wall that is curved. A sacrificial layer is formed on the first mask. A hard mask layer is formed on the sacrificial layer. After the hard mask layer is partially removed until the sacrificial layer adjacent to the corner portion is exposed, a second mask is formed from the hard mask layer remaining in the space after removing the sacrificial layer. A minute pattern having a fine structure may be easily formed on the substrate.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Doo-Youl Lee, Han-Ku Cho, Suk-Joo Lee, Gi-Sung Yeo, Cha-Won Koh, Sung-Gon Jung
  • Patent number: 7439196
    Abstract: The main object of the present invention is to provide a method for manufacturing efficiently a pattern formed structure which has a surface having a property-varied pattern and can be used to manufacture a color filter or the like. In order to achieve the object, the present invention provides a method for manufacturing a pattern formed structure, comprising: a patterning substrate preparing process of preparing a patterning substrate having a base material and a photocatalyst-containing property variable layer which is formed on the base material, comprises at least a photocatalyst and a binder, and has a property variable by action of the photocatalyst based on irradiation with energy; and an energy radiating process of radiating energy onto the patterning substrate at an intensity of 0.1 to 10 mW/cm2, thereby forming a property variable pattern in which the property of the photocatalyst-containing property variable layer is varied.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: October 21, 2008
    Assignee: DAI Nippon Printing Co., Ltd.
    Inventors: Hironori Kobayashi, Yusuke Uno