Formation Of Contacts To Semiconductor By Use Of Metal Layers Separated By Insulating Layers, E.g., Self-aligned Contacts To Source/drain Or Emitter/base (epo) Patents (Class 257/E21.507)
  • Patent number: 11967571
    Abstract: A semiconductor structure and a method of fabricating therefor are disclosed. A second contact pad (500) is arranged lateral to a first contact pad (420) in an interconnect structure (400). As a result, during fabrication of the interconnect structure (400), the first contact pad (420) will not be present alone in a large bland area, due to the presence of the second contact pad (500). Thus, a pattern feature for the first contact pad (420) will not be over-resolved, increasing formation accuracy of the first contact pad (420) and thus guaranteeing good electrical transmission performance of the resulting interconnect structure (400).
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: April 23, 2024
    Assignee: FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD.
    Inventors: Yi-Wang Jhan, Yung-Tai Huang, Xin You, Xiaopei Fang, Yu-Cheng Tung
  • Patent number: 11948803
    Abstract: A method for passivating sidewalls of patterned semiconductor wafer including ridge(s). The method includes: depositing first layer of first dielectric material on pattern surface of said wafer; etching portion of first layer to obtain tapered portions of first dielectric material along sidewall(s) of ridge(s); depositing second layer of second dielectric material on tapered portions and said wafer; depositing photo-sensitive material on second layer; aligning mask with photo-sensitive material, wherein portion(s) of photo-sensitive material corresponding to top surface of ridge(s) is/are unmasked, and remaining portion is masked; applying developing solution and exposing photo-sensitive material to remove portion(s) of photo-sensitive material; etching portion(s) of second layer that is/are deposited on top surface of ridge(s); and removing photo-sensitive material.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: April 2, 2024
    Assignee: Modulight Oy
    Inventors: Riina Ulkuniemi, Ville Vilokkinen, Petri Melanen
  • Patent number: 11944988
    Abstract: Embodiments of multi-zone showerheads are provided herein. In some embodiments, a multi-zone showerhead includes: a body having an outer surface and including a plurality of fluidly independent plenums; and a plurality of gas distribution plugs extending through the body, wherein at least one gas distribution plug includes a first internal gas passageway coupling a first plenum of the plurality of fluidly independent plenums to the outer surface and a second internal gas passageway coupling a second plenum of the plurality of fluidly independent plenums to the outer surface. In some embodiments, the body can include: a top plate; a bottom plate; and one or more intermediate plates disposed between the top plate and the bottom plate, wherein individual plenums of the plurality of fluidly independent plenums are respectively defined between adjacent plates of the top plate, the bottom plate, and the one or more intermediate plates.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: April 2, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad Rasheed
  • Patent number: 11948888
    Abstract: A semiconductor device including a metal pattern on a semiconductor substrate; an etch stop layer covering the metal pattern, the etch stop layer including a sequentially stacked first insulation layer, second insulation layer, and third insulation layer; an interlayer dielectric layer on the etch stop layer; and a contact plug penetrating the interlayer dielectric layer and the etch stop layer, the contact plug being connected to the metal pattern, wherein the first insulation layer includes a first insulating material that contains a metallic element and nitrogen, wherein the second insulation layer includes a second insulating material that contains carbon, and wherein the third insulation layer includes a third insulating material that does not contain a metallic element and carbon.
    Type: Grant
    Filed: June 4, 2021
    Date of Patent: April 2, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hongsik Shin, Sanghyun Lee, Hakyoon Ahn, Seonghan Oh, Youngmook Oh
  • Patent number: 11943886
    Abstract: An electronic assembly includes an electronic package having an integrated circuit component and interposer assemblies with compressible interposer contacts electrically connected thereto. Cable connector modules are coupled to the interposer assemblies. A cover assembly is coupled to the upper surface of the electronic package over the cable connector modules. The cover assembly includes bridge assemblies having plates in a plate stack that are independently movable. A load plate engages upper edges of the plates of the bridge assemblies and press against the plates to drive the bridge assemblies into the cable connector modules using compression hardware. The cable connector modules compress the interposer contacts of the interposer assemblies when the load plate presses the plates of the bridge assemblies into the cable connector modules.
    Type: Grant
    Filed: October 25, 2021
    Date of Patent: March 26, 2024
    Assignee: TE CONNECTIVITY SOLUTIONS GmbH
    Inventors: Christopher William Blackburn, Brian Patrick Costello, Alex Michael Sharf
  • Patent number: 11935896
    Abstract: Disclosed is a display device including a transistor showing extremely low off current. In order to reduce the off current, a semiconductor material whose band gap is greater than that of a silicon semiconductor is used for forming a transistor, and the concentration of an impurity which serves as a carrier donor of the semiconductor material is reduced. Specifically, an oxide semiconductor whose band gap is greater than or equal to 2 eV, preferably greater than or equal to 2.5 eV, more preferably greater than or equal to 3 eV is used for a semiconductor layer of a transistor, and the concentration of an impurity which serves as a carrier donor included is reduced. Consequently, the off current of the transistor per micrometer in channel width can be reduced to lower than 10 zA/?m at room temperature and lower than 100 zA/?m at 85° C.
    Type: Grant
    Filed: May 10, 2022
    Date of Patent: March 19, 2024
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 11929283
    Abstract: A semiconductor device includes a gate structure on a substrate and a dielectric film stack over the gate structure and the substrate, where the dielectric film stack includes a first inter layer dielectric (ILD) over the substrate and the gate structure, a barrier layer over the first ILD, a second ILD over the barrier layer, and a contact extending through the dielectric film stack. An upper portion of a contact sidewall has a first slope, a lower portion of the contact sidewall has a second slope different from the first slope, and a transition from the first slope to the second slope occurs at a portion of the contact extending through the barrier layer.
    Type: Grant
    Filed: August 28, 2019
    Date of Patent: March 12, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Pin Chi Huang, Chien-Chang Fang, Rung Hung Hsueh
  • Patent number: 11923294
    Abstract: An interconnect structure includes an etching stop layer, a dielectric layer and an insert layer and a conductive line. The insert layer is located between the etching stop layer and the dielectric layer. The conductive line extends through the dielectric layer, the insert layer, and the etching stop layer. A material of the insert layer is different from the dielectric layer and the etching stop layer.
    Type: Grant
    Filed: April 29, 2022
    Date of Patent: March 5, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Chou, Chung-Chi Ko, Tze-Liang Lee
  • Patent number: 11923304
    Abstract: The present disclosure relates to an integrated circuit. The integrated circuit includes a conductive interconnect disposed on a dielectric over a substrate. An interfacial layer is arranged along an upper surface of the conductive interconnect. A liner is arranged along a lower surface of the conductive interconnect. The liner and the interfacial layer surround the conductive interconnect. A middle layer is located over the interfacial layer and has a bottommost surface over the dielectric. A bottommost surface of the interfacial layer and the bottommost surface of the middle layer are both above a top of the conductive interconnect.
    Type: Grant
    Filed: November 28, 2022
    Date of Patent: March 5, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Su-Jen Sung, Chih-Chiang Chang, Chia-Ho Chen
  • Patent number: 11917829
    Abstract: A semiconductor memory device comprises a semiconductor substrate comprising a first region, a second region, and a third region provided therebetween. The first region comprises: first conductive layers; a first semiconductor layer facing the first conductive layers; and a second semiconductor layer connected to the first semiconductor layer. The second region comprises: a third semiconductor layer and fourth semiconductor layer; and a second conductive layer electrically connected to the third semiconductor layer, the fourth semiconductor layer, and the semiconductor substrate. The third region comprises a fifth semiconductor layer and sixth semiconductor layer that are formed continuously with the second semiconductor layer and the third semiconductor layer or fourth semiconductor layer, and extend in a second direction. The third region comprises first and second portions aligned alternately in the second direction.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: February 27, 2024
    Assignee: Kioxia Corporation
    Inventors: Ayaka Takeoka, Yoshitaka Kubota
  • Patent number: 11915971
    Abstract: A method and structure for forming a via-first metal gate contact includes depositing a first dielectric layer over a substrate having a gate structure with a metal gate layer. An opening is formed within the first dielectric layer to expose a portion of the substrate, and a first metal layer is deposited within the opening. A second dielectric layer is deposited over the first dielectric layer and over the first metal layer. The first and second dielectric layers are etched to form a gate via opening. The gate via opening exposes the metal gate layer. A portion of the second dielectric layer is removed to form a contact opening that exposes the first metal layer. The gate via and contact openings merge to form a composite opening. A second metal layer is deposited within the composite opening, thus connecting the metal gate layer to the first metal layer.
    Type: Grant
    Filed: May 2, 2022
    Date of Patent: February 27, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chao-Hsun Wang, Wang-Jung Hsueh, Kuo-Yi Chao, Mei-Yun Wang
  • Patent number: 11908803
    Abstract: A semiconductor device includes an array of flexible connectors configured to mitigate thermomechanical stresses. In one embodiment, a semiconductor assembly includes a substrate coupled to an array of flexible connectors. Each flexible connector can be transformed between a resting configuration and a loaded configuration. Each flexible connector includes a conductive wire electrically coupled to the substrate and a support material at least partially surrounding the conductive wire. The conductive wire has a first shape when the flexible connector is in the resting configuration and a second, different shape when the flexible connector is in the loaded configuration. The first shape includes at least two apices spaced apart from each other in a vertical dimension by a first distance, and the second shape includes the two apices spaced apart from each other in the vertical dimension by a second distance different than the first distance.
    Type: Grant
    Filed: May 23, 2022
    Date of Patent: February 20, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Koustav Sinha, Xiaopeng Qu
  • Patent number: 11887977
    Abstract: The present disclosure provides a semiconductor device and a method of fabricating the same, which includes a substrate, an active structure, and a shallow trench isolation. The active structure is disposed in the substrate and includes a first active area, a second active area disposed outside the first active area, and a third area disposed outside the second active area. The shallow trench isolation is disposed in the substrate to surround the active structure. Through the second active area and the third active of the active structure, the structural stability of the semiconductor device may be enhanced to improve the stress around the semiconductor device, thereby preventing from structural collapse or deformation.
    Type: Grant
    Filed: April 25, 2022
    Date of Patent: January 30, 2024
    Assignee: Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventor: Yifei Yan
  • Patent number: 11887891
    Abstract: A transistor comprises a substrate, a pair of spacers on the substrate, a gate dielectric layer on the substrate and between the pair of spacers, a gate electrode layer on the gate dielectric layer and between the pair of spacers, an insulating cap layer on the gate electrode layer and between the pair of spacers, and a pair of diffusion regions adjacent to the pair of spacers. The insulating cap layer forms an etch stop structure that is self aligned to the gate and prevents the contact etch from exposing the gate electrode, thereby preventing a short between the gate and contact. The insulator-cap layer enables self-aligned contacts, allowing initial patterning of wider contacts that are more robust to patterning limitations.
    Type: Grant
    Filed: January 17, 2023
    Date of Patent: January 30, 2024
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Tahir Ghani, Nadia M. Rahhal-Orabi, Subhash M. Joshi, Joseph M. Steigerwald, Jason W. Klaus, Jack Hwang, Ryan Mackiewicz
  • Patent number: 11854807
    Abstract: Methods of forming line-end extensions and devices having line-end extensions are provided. In some embodiments, a method includes forming a patterned photoresist on a first region of a hard mask layer. A line-end extension region is formed in the hard mask layer. The line-end extension region extends laterally outward from an end of the first region of the hard mask layer. The line-end extension region may be formed by changing a physical property of the hard mask layer at the line-end extension region.
    Type: Grant
    Filed: March 2, 2020
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Min Hsiao, Chien-Wen Lai, Ru-Gun Liu, Chih-Ming Lai, Shih-Ming Chang, Yung-Sung Yen, Yu-Chen Chang
  • Patent number: 11854924
    Abstract: A semiconductor device includes a semiconductor die having an active surface, an opposite surface, a vertical sidewall extending between the active surface and the opposite surface, and input/output (I/O) connections disposed on the active surface. A redistribution layer (RDL) is disposed on the active surface of the semiconductor die. A plurality of first connecting elements is disposed on the RDL. A molding compound encapsulates the opposite surface and the vertical sidewall of the semiconductor die. The molding compound also covers the RDL and surrounds the plurality of first connecting elements. An interconnect substrate is mounted on the plurality of first connecting elements and on the molding compound.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: December 26, 2023
    Assignee: MEDIATEK INC.
    Inventors: Tien-Chang Chang, Yan-Liang Ji
  • Patent number: 11856750
    Abstract: A semiconductor arrangement includes a logic region and a memory region. The memory region has an active region that includes a semiconductor device. The memory region also has a capacitor within one or more dielectric layers over the active region, where the capacitor is over the semiconductor device. The semiconductor arrangement also includes a protective ring within at least one of the logic region or the memory region and that separates the logic region from the memory region. The capacitor has a first electrode, a second electrode and an insulating layer between the first electrode and the second electrode, where the first electrode is substantially larger than other portions of the capacitor.
    Type: Grant
    Filed: May 17, 2021
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Chern-Yow Hsu, Chen-Jong Wang, Chia-Shiung Tsai, Shih-Chang Liu, Xiaomeng Chen
  • Patent number: 11855035
    Abstract: A stack of electrical components has a first electrical component having a first surface, a second surface that is opposite to the first surface and a side surface that is located between the first surface and the second surface; a second electrical component having a third surface on which the first electrical component is mounted, the third surface facing the second surface and forming a corner portion between the third surface and the side surface; an adhesive layer that bonds the first electrical component to the second electrical component, the adhesive layer has a first portion that is located between the second and third surface and a second portion that is made of a same material as the first portion and that fills the corner portion; and a conductive layer that extends on a side of the side surface, curves along the second portion and extends to the third surface.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: December 26, 2023
    Assignee: TDK Corporation
    Inventors: Yohei Hirota, Hiroshi Yamazaki, Hitoshi Iwama, Yusuke Takahashi
  • Patent number: 11848363
    Abstract: A method of forming a semiconductor device includes forming a gate structure on a semiconductor substrate. A gate spacer is formed adjacent to the gate structure. The gate spacer includes a first dielectric layer and a second dielectric layer on the first dielectric layer. A plasma treatment is performed to the second dielectric layer. After performing the plasma treatment, at least a portion of the second dielectric layer is removed such that a sidewall of the first dielectric layer is exposed. A dielectric cap is formed on the gate spacer.
    Type: Grant
    Filed: August 7, 2020
    Date of Patent: December 19, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-Ting Li, Jen-Hsiang Lu, Chih-Hao Chang
  • Patent number: 11837640
    Abstract: A transistor includes a semiconductor substrate including a first active region, a second active region, and a semiconductor channel, a gate stack structure that overlies the semiconductor channel, a proximal dielectric material layer overlying the semiconductor substrate, laterally surrounding the gate stack structure, a distal dielectric material layer overlying the proximal dielectric material layer, and a first contact via structure contacting the first active region having a greater lateral extent at a level of the proximal dielectric material layer than at a level of the distal dielectric material layer.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: December 5, 2023
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Mitsuhiro Togo, Hiroshi Nakatsuji
  • Patent number: 11830942
    Abstract: In an example embodiment, a method comprises: forming first spacers adjacent to a memory cell formed on a substrate, each of the first spacers being formed in direct contact with the substrate, where forming the memory cell includes forming a control gate electrode and a tunnel oxide layer over the substrate and subsequently etching completely at least the control gate electrode and the tunnel oxide layer that are disposed beyond the memory cell; forming an interlayer dielectric layer over the memory cell and the first spacers; forming a contact hole through the interlayer dielectric layer to at least reach the substrate; subsequent to forming the contact hole, forming a second spacer adjacent to one of the first spacers, where a height of the second spacer is greater than a height of the first spacers, the second spacer substantially contacting the substrate and the interlayer dielectric layer; and forming a contact in the contact hole.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: November 28, 2023
    Assignee: Infineon Technologies LLC
    Inventors: Angela T. Hui, Wenmei Li, Minh Van Ngo, Amol Ramesh Joshi, Kuo-Tung Chang
  • Patent number: 11830873
    Abstract: The present description concerns an electronic device comprising a stack of a Schottky diode and of a bipolar diode, connected in parallel by a first electrode located in a first cavity and a second electrode located in a second cavity.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: November 28, 2023
    Assignee: STMICROELECTRONICS (TOURS) SAS
    Inventor: Arnaud Yvon
  • Patent number: 11832436
    Abstract: A semiconductor memory device includes: a peripheral circuit portion including an interconnection; first and second word line stacks that are spaced apart from each other over the peripheral circuit portion, the first and second word line stacks including word lines, respectively; an alternating stack of dielectric layers that are positioned over the peripheral circuit portion and disposed between the first and second word line stacks; a first contact plug penetrating the alternating stack to be coupled to the interconnection; a second contact plug coupled to the word lines of the first and second word line stacks; a first line-shape supporter between the first word line stack and the alternating stack, and extending vertically from the peripheral circuit portion; and a second line-shape supporter between the second word line stack and the alternating stack, and extending vertically from the peripheral circuit portion.
    Type: Grant
    Filed: October 19, 2021
    Date of Patent: November 28, 2023
    Assignee: SK hynix Inc.
    Inventor: Seung Hwan Kim
  • Patent number: 11798808
    Abstract: A method of Atomic Precision Advanced Manufacturing (APAM) is provided, in which a substrate is doped from a dopant precursor gas. The method involves covering a surface of the substrate with a hard mask, selectively removing material from the hard mask such that selected areas of the substrate surface are laid bare, exposing the laid-bare areas to the dopant precursor gas, and heating the substrate so as to incorporate dopant from the dopant precursor gas into the substrate surface.
    Type: Grant
    Filed: June 28, 2021
    Date of Patent: October 24, 2023
    Assignee: National Technology & Engineering Solutions of Sandia, LLC
    Inventors: Shashank Misra, Daniel Robert Ward, DeAnna Marie Campbell, Tzu-Ming Lu, Scott William Schmucker, Evan Michael Anderson, Andrew Jay Leenheer, Jeffrey Andrew Ivie
  • Patent number: 11798991
    Abstract: A device is disclosed. The device includes a channel, a first source-drain region adjacent a first portion of the channel, the first source-drain region including a first crystalline portion that includes a first region of metastable dopants, a second source-drain region adjacent a second portion of the channel, the second source-drain region including a second crystalline portion that includes a second region of metastable dopants. A gate conductor is on the channel.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: October 24, 2023
    Assignee: Intel Corporation
    Inventors: Aaron Lilak, Rishabh Mehandru, Willy Rachmady, Harold Kennel, Tahir Ghani
  • Patent number: 11800700
    Abstract: Embodiments of the present disclosure provide a memory and its manufacturing method. The memory includes: a substrate with a plurality of mutually discrete bitlines, the bitline including a bitline conductive layer and a bitline insulating layer which are stacked in sequence; and an insulating layer and capacitor contact holes, the insulating layer being located on sidewalls of the bitline conductive layers and sidewalls of the bitline insulating layers, the capacitor contact holes being located between adjacent ones of the bitline conductive layers, sidewalls of the capacitor contact holes exposing the insulating layer, an opening size of the capacitor contact hole gradually increasing in a direction along the substrate and towards the insulating layer.
    Type: Grant
    Filed: September 16, 2021
    Date of Patent: October 24, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Jingwen Lu
  • Patent number: 11792974
    Abstract: The present disclosure relates to a semiconductor structure and a manufacturing method thereof. The semiconductor structure includes: a substrate including a peripheral region, wherein the peripheral region includes a wire lead-out area, and the substrate is arranged with a plurality of discrete bit line structures; a dielectric layer formed between the adjacent bit line structures, wherein the peripheral region is arranged with a first contact hole; a wire lead-out area with a second through hole; a filling layer filling part of a first contact hole, wherein a remaining part of the first contact hole is defined as a first through hole; a first conductive layer located in the first through hole and the second through hole; and a conductive connecting wire located over the dielectric layer and being in contact with the first conductive layer in the wire lead-out area.
    Type: Grant
    Filed: June 21, 2021
    Date of Patent: October 17, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Xin Xin, Jinghao Wang
  • Patent number: 11789364
    Abstract: An apparatus for treating the substrate includes a process chamber with a treating space therein, a support member located in the treating space to support the substrate, and a gas supply unit supplying a surface-modifying gas to the treating space. The gas supply unit includes a bubbler tank provided with an accommodating space for storing a liquid alkyne-based chemical, the bubbler tanks bubbling the liquid alkyne-based chemical by supplying an inert gas to the accommodating space to generate the surface-modifying gas, a heater heating the liquid alkyne-based chemical stored in the bubbler tank at a first temperature, and a gas supply line coupled between the process chamber and the bubbler tank to supply the surface modified gas to the treating space and provided with a first valve.
    Type: Grant
    Filed: December 29, 2021
    Date of Patent: October 17, 2023
    Assignee: SEMES CO., LTD.
    Inventors: Hyun Min Kim, Young Seo An
  • Patent number: 11784251
    Abstract: A transistor includes a semiconductor body including a material such as an amorphous or polycrystalline material, for example and a gate stack on a first portion of the body. The gate stack includes a gate dielectric on the body, and a gate electrode on the gate dielectric. The transistor further includes a first metallization structure on a second portion of the body and a third metallization structure on a third portion of the body, opposite to the second portion. The transistor further includes a ferroelectric material on at least a fourth portion of the body, where the ferroelectric material is between the gate stack and the first or second metallization structure.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: October 10, 2023
    Assignee: Intel Corporation
    Inventors: Seung Hoon Sung, Gilbert Dewey, Abhishek Sharma, Van H. Le, Jack Kavalieros
  • Patent number: 11784059
    Abstract: A method for preparing a semiconductor sample with an etched pit suitable for microscope observation is provided, including that a semiconductor sample piece is provided, the semiconductor sample piece including a sacrificial layer and a supporting layer which are stacked, and a trench which penetrates through the sacrificial layer and the supporting layer to expose a pit on a surface of a bottom metal layer; the semiconductor sample piece is steeped by placing it in an etching solution to remove the sacrificial layer; an adhesive tape is pasted on the surface of the semiconductor sample piece after the sacrificial layer is removed; and the adhesive tape is torn to remove the supporting layer above the pit to expose the pit.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: October 10, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Ming-Te Liu
  • Patent number: 11785762
    Abstract: A method used in forming memory circuitry comprises forming transistors individually comprising one source/drain region and another source/drain region. A channel region is between the one and the another source/drain regions. A conductive gate is operatively proximate the channel region. Conductive vias are formed that are individually directly electrically coupled to the another source/drain region. Conductor material is formed that is directly coupled to the one source/drain region. The conductor material is patterned in one direction to form horizontal lines of the conductor material that have a horizontal trench between immediately-adjacent of the horizontal conductor-material lines. In a self-aligned manner, digitlines are formed that are individually in individual of the trenches between the immediately-adjacent conductor-material lines.
    Type: Grant
    Filed: June 30, 2021
    Date of Patent: October 10, 2023
    Assignee: Micron Technology, Inc.
    Inventor: Guangjun Yang
  • Patent number: 11784137
    Abstract: A semiconductor device in which reliability and production yield are improved by reducing or preventing the spreading of cracks that may occur in the die sawing process, and a method for fabricating the same are provided. The semiconductor device includes a substrate which includes a first chip region and a scribe lane region surrounding the first chip region, a first low-k insulating film, which includes a first insulating material having a dielectric constant lower than silicon oxide, on the substrate in the first chip region, a wiring structure, which includes a second low-k insulating film including the first insulating material and a first wiring pattern in the second low-k insulating film, on the substrate in the scribe lane region, and a first protective insulating film, which includes a second insulating material different from the first insulating material, between the first low-k insulating film and the wiring structure.
    Type: Grant
    Filed: January 19, 2021
    Date of Patent: October 10, 2023
    Inventors: Yun Rae Cho, Ae Nee Jang, Seung Hun Han
  • Patent number: 11769742
    Abstract: Disclosed embodiments include a semiconductor chip including a semiconductor substrate having a top surface with a top connection pad disposed therein, and a protection insulation layer comprising an opening therein, the protection insulation layer not covering at least a portion of the top connection pad, on the semiconductor substrate. The protection insulation layer may include: a bottom protection insulation layer, a cover insulation layer comprising a side cover part that covers at least a portion of a side surface of the bottom protection insulation layer and a top cover part disposed apart from the side cover part to cover at least a portion of a top surface of the bottom protection insulation layer. The protection insulation layer may further include a top protection insulation layer on the top cover part.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: September 26, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Eunyoung Jeong, Juik Lee, Junghoon Han
  • Patent number: 11769726
    Abstract: A semiconductor device includes a first gate electrode disposed on a substrate and extending in a first horizontal direction, a first gate contact and a dummy gate contact, which are spaced apart from each other in the first horizontal direction and are in contact with a top surface of the first gate electrode, a first interconnect line extending in a second horizontal direction and overlapping the first gate contact in a vertical direction with respect to the upper surface of the substrate, and a voltage generator configured to generate a first voltage and apply the first voltage to the first gate electrode via the first interconnect line and the first gate contact. The first gate electrode receives the first voltage via the first interconnect line and the first gate contact from the voltage generator. The dummy gate contact receives the first voltage via the first gate electrode.
    Type: Grant
    Filed: May 13, 2022
    Date of Patent: September 26, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byounggon Kang, Changbeom Kim, Dalhee Lee, Eun-Hee Choi
  • Patent number: 11742412
    Abstract: A method for fabricating semiconductor device includes the steps of: forming a gate structure on a substrate; forming a spacer around the gate structure; forming a first contact etch stop layer (CESL) around the spacer; forming a mask layer on the first CESL; removing part of the mask layer; removing part of the first CESL; forming a second CESL on the mask layer and the gate structure; and removing part of the second CESL.
    Type: Grant
    Filed: August 5, 2020
    Date of Patent: August 29, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Te-Chang Hsu, Chun-Chia Chen, Yao-Jhan Wang, Chun-Jen Huang
  • Patent number: 11742398
    Abstract: A semiconductor device is provided. The semiconductor device includes a base substrate; a gate structure on the base substrate; source/drain doped layers in the base substrate on sides of the gate structure; a first dielectric layer on the base substrate and covering the source/drain doped layers; a mask layer on a top of the gate structure between the source/drain doped layers; a second dielectric layer on the first dielectric layer and exposing a surface of the mask layer; first grooves in the second dielectric layer and the first dielectric layer, and exposing the source/drain doped layers; a first conductive structure in each first groove; a second groove in the mask layer, and exposing the gate structure at a bottom of the second groove; and a spacer on sidewalls of the second groove.
    Type: Grant
    Filed: October 7, 2021
    Date of Patent: August 29, 2023
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Nan Wang
  • Patent number: 11735551
    Abstract: Embodiments herein relate to systems, apparatuses, or processes directed to an interconnect joint that includes multiple core balls within a solder compound where the multiple core balls are substantially linearly aligned. The multiple core balls, which may include copper or be a polymer, couple with each other within the solder and form a substantially linear alignment during reflow. In embodiments, four or more core balls may be used to achieve a high aspect ratio interconnect joint with a tight pitch.
    Type: Grant
    Filed: March 25, 2019
    Date of Patent: August 22, 2023
    Assignee: Intel Corporation
    Inventors: Jimin Yao, Shawna Liff, Xin Yan, Numair Ahmed
  • Patent number: 11728267
    Abstract: A semiconductor memory device is provided that includes a plurality of memory blocks, arranged in a second direction, that are spaced from a semiconductor substrate in a first direction intersecting with a surface of the semiconductor substrate; a first wiring that is farther from the semiconductor substrate than the plurality of memory blocks in the first direction; a second wiring that is closer to the semiconductor substrate than the plurality of memory blocks in the first direction; a first contact; a first transistor with a first active region disposed in the semiconductor substrate, the second wiring being electrically connected to a first memory block among the plurality of memory blocks via the first transistor; and a second transistor where the second wiring being electrically connected to a second memory block among the plurality of memory blocks via the second transistor.
    Type: Grant
    Filed: January 27, 2021
    Date of Patent: August 15, 2023
    Assignee: Kioxia Corporation
    Inventors: Toshifumi Hashimoto, Jumpei Sato
  • Patent number: 11721736
    Abstract: An electronic device can include a gate structure. In an embodiment, the gate structure can include a gate electrode including a doped semiconductor material, a metal-containing member, a pair of conductive sidewall spacers. The first metal-containing member can overlie the gate electrode. The conductive sidewall spacers can overlie the gate electrode and along opposite sides of the first metal-containing member. In another embodiment, the gate structure can include a gate electrode, a first metal-containing member overlying the gate electrode, and a second metal-containing member overlying the first metal-containing member. The first metal-containing member can have a length that is greater than the length of the second metal-containing member and substantially the same length as the gate electrode.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: August 8, 2023
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Aurore Constant, Joris Baele
  • Patent number: 11705492
    Abstract: A first gate and a second gate are formed on a substrate with a gap between the first and second gates. The first gate has a first sidewall. The second gate has a second sidewall directly facing the first sidewall. A first sidewall spacer is disposed on the first sidewall. A second sidewall spacer is disposed on the second sidewall. A contact etch stop layer is deposited on the first and second gates and on the first and second sidewall spacers. The contact etch stop layer is subjected to a tilt-angle plasma etching process to trim a corner portion of the contact etch stop layer. An inter-layer dielectric layer is then deposited on the contact etch stop layer and into the gap.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: July 18, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yi-Fan Li, Kuo-Chin Hung, Wen-Yi Teng, Ti-Bin Chen
  • Patent number: 11694955
    Abstract: A device comprises a first dielectric layer, a first conductor, a carbon-containing etch stop layer, a second dielectric layer, and a second conductor. The first conductor has a lower portion in the first dielectric layer. The carbon-containing etch stop layer wraps an upper portion of the first conductor. The second dielectric layer is over the carbon-containing etch stop layer. An interface formed by the second dielectric layer and the carbon-containing etch stop layer is higher over the first conductor than over the first dielectric layer. The second conductor is in the second dielectric layer.
    Type: Grant
    Filed: April 9, 2021
    Date of Patent: July 4, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Zhi-Sheng Zheng, Chih-Lin Wang
  • Patent number: 11685991
    Abstract: A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process is disclosed. The method may include: contacting the substrate with a first vapor phase reactant comprising a metalorganic precursor, the metalorganic precursor comprising a metal selected from the group consisting of platinum, aluminum, titanium, bismuth, zinc, and combination thereof. The method may also include; contacting the substrate with a second vapor phase reactant comprising ruthenium tetroxide, wherein the ruthenium-containing film comprises at least one of a ruthenium-platinum alloy, or a ternary ruthenium oxide. Device structures including a ruthenium-containing film deposited by the methods of the disclosure are also disclosed.
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: June 27, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Matthias Minjauw, Jolien Dendooven, Christophe Detavernier
  • Patent number: 11683990
    Abstract: Some embodiments relate to an integrated circuit including a semiconductor substrate and an interconnect structure disposed over the semiconductor substrate. The interconnect structure includes a plurality of dielectric layers and a plurality of metal layers that are stacked over one another in alternating fashion. The plurality of metal layers include a lower metal layer and an upper metal layer disposed over the lower metal layer. A bottom electrode is disposed over and in electrical contact with the lower metal layer. A dielectric layer is disposed over an upper surface of the bottom electrode. A top electrode is disposed over an upper surface of the dielectric layer and is in direct electrical contact with a lower surface of the upper metal layer.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: June 20, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry-Hak-Lay Chuang, Chern-Yow Hsu, Shih-Chang Liu
  • Patent number: 11682673
    Abstract: A semiconductor device includes: a first active pattern on a substrate and including a first active fin and a second active fin; a device isolation layer defining the first active pattern; a gate electrode crossing the first active pattern; a first source/drain pattern and a second source/drain pattern on the first active fin and the second active fin, respectively; an inner fin spacer between the first and second source/drain patterns; and a buffer layer between the first and second active fins, wherein the inner fin spacer includes: a first inner spacer portion contacting the first source/drain pattern; a second inner spacer portion contacting the second source/drain pattern; and an inner extended portion extending from the first and second inner spacer portions, wherein the inner extended portion is between the first and second active fins, wherein the buffer layer has a dielectric constant higher than that of the inner fin spacer.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: June 20, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyungin Choi, Jinbum Kim, Haejun Yu, Seung Hun Lee
  • Patent number: 11670544
    Abstract: Various embodiments of the present disclosure provide a via-first process for connecting a contact to a gate electrode. In some embodiments, the contact is formed extending through a first interlayer dielectric (ILD) layer to a source/drain region bordering the gate electrode. An etch stop layer (ESL) is deposited covering the first ILD layer and the contact, and a second ILD layer is deposited covering the ESL. A first etch is performed into the first and second ILD layers and the etch stop layer to form a first opening exposing the gate electrode. A series of etches is performed into the second ILD layer and the etch stop layer to form a second opening overlying the contact and overlapping the first opening, such that a bottom of the second opening slants downward from the contact to the first opening. A gate-to-contact (GC) structure is formed filling the first and second openings.
    Type: Grant
    Filed: November 23, 2021
    Date of Patent: June 6, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chao-Hsun Wang, Mei-Yun Wang, Kuo-Yi Chao, Wang-Jung Hsueh
  • Patent number: 11664278
    Abstract: Semiconductor device and the manufacturing method thereof are disclosed herein. An exemplary semiconductor device comprises a semiconductor fin disposed over a substrate; a metal gate structure disposed over a channel region of the semiconductor fin; a first interlayer dielectric (ILD) layer disposed over a source/drain (S/D) region next to the channel region of the semiconductor fin; and a first conductive feature including a first conductive portion disposed on the metal gate structure and a second conductive portion disposed on the first ILD layer, wherein a top surface of the first conductive portion is below a top surface of the second conductive portion, a first sidewall of the first conductive portion connects a lower portion of a first sidewall of the second conductive portion.
    Type: Grant
    Filed: July 22, 2020
    Date of Patent: May 30, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Chi Chuang, Li-Zhen Yu, Yi-Hsun Chiu, Yu-Ming Lin, Chih-Hao Wang
  • Patent number: 11664435
    Abstract: A dynamic random access memory includes a substrate, an isolation structure, and a buried word line structure. The isolation structure is located in the substrate and defines multiple active regions. The buried word line structure is located in a word line trench in the substrate, and the word line trench passes through the active regions and the isolation structure. The buried word line structure includes a gate conductive layer, a first gate dielectric layer, and a second gate dielectric layer. The gate conductive layer is located in the word line trench. The first gate dielectric layer is located on a sidewall and a bottom surface of the word line trench. The second gate dielectric layer is located between the first gate dielectric layer and the gate conductive layer, and a top surface of the second gate dielectric layer is lower than a top surface of the gate conductive layer.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: May 30, 2023
    Assignee: Winbond Electronics Corp.
    Inventor: Hung-Yu Wei
  • Patent number: 11658206
    Abstract: A deep trench structure may be formed between electrodes of a capacitive device. The deep trench structure may be formed to a depth, a width, and/or an aspect ratio that increases the volume of the deep trench structure relative to a trench structure formed using a metal etch-stop layer. Thus, the deep trench structure is capable of being filled with a greater amount of dielectric material, which increases the capacitance value of the capacitive device. Moreover, the parasitic capacitance of the capacitive device may be decreased by omitting the metal etch-stop layer. Accordingly, the deep trench structure (and the omission of the metal etch-stop layer) may increase the sensitivity of the capacitive device, may increase the humidity-sensing performance of the capacitive device, and/or may increase the performance of devices and/or integrated circuits in which the capacitive device is included.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: May 23, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: En-Shuo Lin, Sheng Ko, Chi-Fu Lin, Che-Yi Lin, Clark Lee
  • Patent number: 11647623
    Abstract: The present disclosure provides a method for manufacturing a semiconductor structure. The method includes providing a substrate having a first top surface; forming an isolation region in the substrate to surround an active region; forming a recess in the active region; disposing a first conductive material within the recess to form a buried power line and a buried signal line; forming a first circuit layer and a second circuit layer on the first top surface of the substrate, wherein the first circuit layer covers the buried power line and the buried signal line, and the second circuit layer is separated from the first circuit layer; and forming a cell capacitor over the first circuit layer.
    Type: Grant
    Filed: November 12, 2021
    Date of Patent: May 9, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Chiang-Lin Shih, Tseng-Fu Lu, Jeng-Ping Lin
  • Patent number: 11637064
    Abstract: Examples of an integrated circuit a having an advanced two-dimensional (2D) metal connection with metal cut and methods of fabricating the same are provided. An example method for fabricating a conductive interconnection layer of an integrated circuit may include: patterning a conductive connector portion on the conductive interconnection layer of the integrated circuit using extreme ultraviolet (EUV) lithography, wherein the conductive connector portion is patterned to extend across multiple semiconductor structures in a different layer of the integrated circuit; and cutting the conductive connector portion into a plurality of conductive connector sections, wherein the conductive connector portion is cut by removing conductive material from the metal connector portion at one or more locations between the semiconductor structures.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: April 25, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chih-Liang Chen, Cheng-Chi Chuang, Chih-Ming Lai, Chia-Tien Wu, Charles Chew-Yuen Young, Hui-Ting Yang, Jiann-Tyng Tzeng, Kam-Tou Sio, Ru-Gun Liu, Shun Li Chen, Shih-Wei Peng, Tien-Lu Lin