Surface Condition Patents (Class 356/237.2)
  • Patent number: 9946056
    Abstract: Provided is an illumination optical system that illuminates a target illumination region by using light emitted from a discharge lamp. The system includes a condensing mirror that condenses the light from the discharge lamp, an optical integrator which has a polygonal cross-sectional shape and is arranged on an optical path from the condensing mirror to the target illumination region, an imaging optical system that forms an image on the target illumination region with respect to an exit end face of the optical integrator as an object plane, and a power supply cable connecting to an electrode of the discharge lamp across the optical path directed from the condensing mirror to the optical integrator. The cable is arranged so that a shadow of the cable is neither parallel nor perpendicular to each side of the polygon of an entrance surface of the optical integrator.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: April 17, 2018
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Hiromi Suda
  • Patent number: 9939624
    Abstract: An inspection system that is effective to collect images of a part under inspection. This inspection system includes (a) a three axis linear motion stage; (b) a rotary fourth axis stage configured to hold and rotate an object to be inspected. This rotary fourth axis stage is mounted on the three axis linear stage; (c) a fifth axis camera and optical system mounted to one of the axes of the three axis linear motion stage. This fifth axis camera has an optical axis substantially parallel to the axis of linear motion; (d) a 45 degree mirror configured to bend the optical axis of the fifth axis camera by 90° to point towards the object; and (e) a motor configured to rotate the mirror over a range of angles to obtain a fifth axis of viewing orientation.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: April 10, 2018
    Assignee: Electro Scienctific Industries, Inc.
    Inventors: Daniel Freifeld, John Burnett, Minh Chau Ngo
  • Patent number: 9916650
    Abstract: An arrangement for visual fault inspection of at least one component includes a fault identification unit for identifying a structural fault of the component and for determining at least one piece of fault information, and an overlay device connected to the fault identification unit, configured for context-dependent overlay of the fault information in a component image in real-time.
    Type: Grant
    Filed: October 14, 2015
    Date of Patent: March 13, 2018
    Assignee: Airbus Defence and Space GmbH
    Inventor: Franz Engel
  • Patent number: 9904988
    Abstract: In an image processing method, first, one point is designated on input image data as a start point of a retrieval line by external input. Subsequently, one point, which is different from the start point, is designated on the input image data as an endpoint of the retrieval line by the external input. Subsequently, a cumulative line profile of the retrieval line is prepared by calculating a cumulative sum of luminance values on the retrieval line. Subsequently, an edge position on the retrieval line is calculated based on the cumulative line profile of the retrieval line.
    Type: Grant
    Filed: August 30, 2016
    Date of Patent: February 27, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Takahiro Ikeda
  • Patent number: 9897554
    Abstract: A method of inspecting a surface includes loading an inspection object on a stage of a multibeam inspection device configured to generate a beam array, and scanning a plurality of inspection areas of the inspection object at a same time with the beam array, wherein one of the first inspection areas is smaller than an area formed by a quadrangle connecting respective centers of corresponding four adjacent beams of the beam array, and an adjacent area of the one first inspection area is not scanned with the beam array.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: February 20, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji-hoon Na, Dong-gun Lee, Byung-gook Kim, Rae-won Yi
  • Patent number: 9891175
    Abstract: A system to generate multiple beam lines in an oblique angle multi-beam spot scanning wafer inspection system includes a beam scanning device configured to scan a beam of illumination, an objective lens oriented at an oblique angle relative to the surface of a sample and with an optical axis perpendicular to a first scanning direction on the sample, and one or more optical elements positioned between the objective lens and the beam scanning device. The one or more optical elements split the beam into two or more offset beams such that the two or more offset beams are separated in a least a second direction perpendicular to the first direction. The one or more optical elements further modify the phase characteristics of the two or more offset beams such that the two or more offset beams are simultaneously in focus on the sample during a scan.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: February 13, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Jamie M. Sullivan, Yevgeniy Churin
  • Patent number: 9881416
    Abstract: Systems and methods using an Unmanned Aerial Vehicle (UAV) to perform physical functions on a cell tower at a cell site include flying the UAV at or near the cell site, wherein the UAV comprises one or more manipulable members; moving the one or more manipulable members when proximate to a location at the cell tower where the physical functions are performed to effectuate the physical functions; and utilizing one or more counterbalancing techniques during the moving ensuring a weight distribution of the UAV remains substantially the same.
    Type: Grant
    Filed: October 3, 2016
    Date of Patent: January 30, 2018
    Assignee: ETAK Systems, LLC
    Inventor: Lee Priest
  • Patent number: 9863889
    Abstract: A method and a system for inspecting a wafer. The system comprises an optical inspection head, a wafer table, a wafer stack, a XY table and vibration isolators. The optical inspection head comprises a number of illuminators, image capture devices, objective lens and other optical components. The system and method enables capture of brightfield images, darkfield images, 3D profile images and review images. Captured images are converted into image signals and transmitted to a programmable controller for processing. Inspection is performed while the wafer is in motion. Captured images are compared with reference images for detecting defects on the wafer. An exemplary reference creation process for creating reference images and an exemplary image inspection process is also provided by the present invention. The reference image creation process is an automated process.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: January 9, 2018
    Assignee: SEMICONDUCTOR TECHNOLOGIES & INSTRUMENTS PTE LTD
    Inventors: Ajharali Amanullah, Han Cheng Ge
  • Patent number: 9857313
    Abstract: A method for inspecting a wafer, includes: rotating the wafer about an axis of the wafer, emitting from a light source, two pairs of incident coherent light beams, each pair forming, at the intersection between the two beams, a measurement volume, a portion of the main wafer surface passing through each of the measurement volumes during the rotation, collecting a light beam scattered by the wafer surface, capturing the collected light and emitting an electrical signal representing the variation in the collected light intensity, detecting in the signal, a frequency, being the time signature of a defect through a respective measurement volume, for each detected signature, determining a visibility parameter, on the basis of the visibility determined, obtaining an item of information on the size of the defect, and cross-checking the items of information to determine the size of the defect.
    Type: Grant
    Filed: September 29, 2015
    Date of Patent: January 2, 2018
    Assignee: UNITY SEMICONDUCTOR
    Inventors: Mayeul Durand De Gevigney, Philippe Gastaldo
  • Patent number: 9841369
    Abstract: A system for analyzing a coating test on a test surface with a portable electronic device includes a hood that is at least partially open at a bottom side thereof and fixable with the portable electronic device at an opposing top side thereof. At least one lamp is fixed with an inside surface of the hood and is adapted to project light towards the open bottom side thereof. A software application is resident on a memory of the portable electronic device that directs a processor of the portable electronic device to at least a) capture an image of an original color input area (CIA) of the test surface as a reference, b) capture an image of an area of interest (AOI) of the test surface, and c) compare the AOI to the CIA to determine a percentage of the coating that has been removed in the test.
    Type: Grant
    Filed: September 26, 2016
    Date of Patent: December 12, 2017
    Inventors: Myron E. Taylor, Jr., Robert L. Hester
  • Patent number: 9842430
    Abstract: A method and device for automatically identifying a point of interest (e.g., the deepest or highest point) on a viewed object using a video inspection device. The method involves placing a first cursor on an image of the object to establish a first slice plane and first surface contour line, as well as placing another cursor, offset from the first cursor, used to establish an offset (second) slice plane and an offset (second) surface contour line. Profile slice planes and profile surface contour lines are then determined between corresponding points on the first surface contour line and the offset (second) surface contour line to automatically identify the point of interest.
    Type: Grant
    Filed: February 8, 2016
    Date of Patent: December 12, 2017
    Assignee: General Electric Company
    Inventor: Clark Alexander Bendall
  • Patent number: 9835679
    Abstract: In accordance with disclosed embodiments, there are provided systems, methods, and apparatuses for implementing fast throughput die handling for synchronous multi-die testing.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: December 5, 2017
    Assignee: Intel Corporation
    Inventor: Eric J. M. Moret
  • Patent number: 9816187
    Abstract: A method of processing a substrate according to a PECVD process is described. Temperature profile of the substrate is adjusted to change deposition rate profile across the substrate. Plasma density profile is adjusted to change deposition rate profile across the substrate. Chamber surfaces exposed to the plasma are heated to improve plasma density uniformity and reduce formation of low quality deposits on chamber surfaces. In situ metrology may be used to monitor progress of a deposition process and trigger control actions involving substrate temperature profile, plasma density profile, pressure, temperature, and flow of reactants.
    Type: Grant
    Filed: September 28, 2016
    Date of Patent: November 14, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Nagarajan Rajagopalan, Xinhai Han, Michael Wenyoung Tsiang, Masaki Ogata, Zhijun Jiang, Juan Carlos Rocha-Alvarez, Thomas Nowak, Jianhua Zhou, Ramprakash Sankarakrishnan, Amit Kumar Bansal, Jeongmin Lee, Todd Egan, Edward Budiarto, Dmitriy Panasyuk, Terrance Y. Lee, Jian J. Chen, Mohamad A. Ayoub, Heung Lak Park, Patrick Reilly, Shahid Shaikh, Bok Hoen Kim, Sergey Starik, Ganesh Balasubramanian
  • Patent number: 9816862
    Abstract: A computer implemented method. The method includes generating, using a processor, a multi-dimensional object from a plurality of data obtained from a spectrophotometric measurement of a target coating. The method also includes calculating, using the processor, at least one geometric property of the multi-dimensional object. The method further includes correlating, using the processor, the at least one value with a plurality of known values to identify at least one pigment effect in the target coating, and outputting, using the processor, the at least one pigment effect.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: November 14, 2017
    Assignee: PPG Industries Ohio, Inc.
    Inventor: Alison M. Norris
  • Patent number: 9796049
    Abstract: Disclosed herein is a laser processing apparatus including a beam swinging unit provided between a pulsed laser oscillator and a focusing unit for swinging the optical path of a pulsed laser beam oscillated from the pulsed laser oscillator and then introducing the pulsed laser beam to the focusing unit. The beam swinging unit includes a polygon scanner provided on the upstream side of the focusing unit for scanning the pulsed laser beam oscillated from the pulsed laser oscillator and introducing the pulsed laser beam scanned to the focusing unit and an acoustooptic deflecting unit provided on the upstream side of the polygon scanner and on the downstream side of the pulsed laser oscillator for deflecting the optical path of the pulsed laser beam oscillated from the pulsed laser oscillator and introducing the pulsed laser beam deflected to the polygon scanner.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: October 24, 2017
    Assignee: Disco Corporation
    Inventors: Keiji Nomaru, Masatoshi Nayuki
  • Patent number: 9798128
    Abstract: A scanning microscope includes a scanner, an objective irradiates a sample with illumination light deflected by the scanner, and a beam splitter that is arranged between the objective and an exit pupil position, and that reflects one of the illumination light and observation light from the sample and transmits the other. The objective has the exit pupil position outside the objective.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: October 24, 2017
    Assignee: OLYMPUS CORPORATION
    Inventor: Yuya Miyazono
  • Patent number: 9784986
    Abstract: A spatial filter is made by forming a structure comprising a focusing element and an opaque surface, the opaque surface being disposed remotely from the focusing element in substantially the same plane as a focal plane of the focusing element; and by forming a pinhole in the opaque surface at or adjacent to a focal point of the focusing element by transmitting a substantially collimated laser beam through the focusing element so that a point optimally corresponding to the focal point is identified on the opaque surface and imperfection of the focusing element, if any, is reflected on the shape and position of the pinhole so formed.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: October 10, 2017
    Assignee: INTEGRATED PLASMONICS CORPORATION
    Inventors: Michael Yagoda Shagam, Robert Joseph Walters
  • Patent number: 9778206
    Abstract: In an defect inspection method and device, in order to detect a minute defect present on a surface of a sample with a high degree of sensitivity, a defect inspection method includes imaging the same region of a sample in a plurality of image acquisition conditions and acquiring a plurality of images, processing the plurality of acquired images and extracting a defect candidate, clipping a partial image including the extracted defect candidate and a neighboring image of the defect candidate from the acquired images based on position information of the extracted defect candidate, obtaining feature quantities of the defect candidates in the plurality of clipped partial images, associating the defect candidates that have the same coordinates on the sample and are detected in different image acquisition condition, extracting a defect from among the associated defect candidates in a multi-dimensional feature quantity space, and outputting information of the extracted defect.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: October 3, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Toshifumi Honda, Takahiro Urano, Hidetoshi Nishiyama
  • Patent number: 9759666
    Abstract: The disclosed device, which, using an electron microscope or the like, minutely observes defects detected by an optical appearance-inspecting device or an optical defect-inspecting device, can reliably insert a defect to be observed into the field of an electron microscope or the like, and can be a device of smaller scale. The electron microscope, which observes defects detected by an optical appearance-inspecting device or an optical defect-inspecting device, has a configuration incorporating an optimal microscope that re-detects defects, and a spatial filter and a distribution polarization element are inserted at the pupil plane when making dark-field observations using this optical microscope.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: September 12, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yuko Otani, Takehiro Tachizaki, Masahiro Watanabe, Shunichi Matsumoto
  • Patent number: 9746426
    Abstract: An optical inspection system in accordance with the disclosure can be configured to simultaneously capture illumination reflected in multiple directions from the surface of a substrate, thereby overcoming inaccurate or incomplete characterization of substrate surface aspects as a result of reflected intensity variations that can arise when illumination is captured only from a single direction. Such a system includes a set of illuminators and an image capture device configured to simultaneously capture at least two beams of illumination that are reflected off the surface. The at least two beams of illumination that are simultaneously captured by the image capture device have different angular separations between their reflected paths of travel. The set of illuminators can include a set of thin line illuminators positioned and configured to supply one or more beams of thin line illumination incident to the surface.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: August 29, 2017
    Inventor: Ajharali Amanullah
  • Patent number: 9727980
    Abstract: In an inspection method, an inspection region of a sample is divided into a plurality of stripe regions, and is divided into a plurality of groups so that a plurality of continuously arranged stripe regions constitutes one group. An optical image of a graphic pattern arranged in a stripe region of one of the groups is acquired while moving a stage in a longitudinal direction of the stripe region. The stage is then moved in a lateral direction of the stripe region. An optical image of a graphic pattern arranged in a stripe region of a group different from one of the groups in which the optical image is acquired, and in a stripe region not continuous to the stripe region whose optical image is acquired immediately before the movement in the lateral direction, is acquired while moving the stage in the longitudinal direction of the stripe region.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: August 8, 2017
    Assignee: NuFlare Technology, Inc.
    Inventor: Kazuhiro Nakashima
  • Patent number: 9719943
    Abstract: This inspection system has an optical head, a support system, and a controller in electrical communication with the support system. The support system is configured to provide movement to the optical head with three degrees of freedom. The controller is programmed to control movement of the optical head using the support system such that the optical head maintains a constant angle of incidence relative to a wafer surface while imaging a circumferential edge of the wafer. An edge profiler may be scanned across the wafer to determine an edge profile. A trajectory of the optical head can be determined using the edge profile.
    Type: Grant
    Filed: September 25, 2015
    Date of Patent: August 1, 2017
    Assignee: KLA-Tencor Corporation
    Inventor: Paul Horn
  • Patent number: 9720407
    Abstract: A substrate processing system includes a monitored data receiving unit receiving a plurality of types of monitored data; a temporary memory unit periodically storing the monitored data; a monitored data rate detection unit detecting, as a monitored data rate, a total number of times each type of monitored data changes during a first time period by more than a predetermined amount; a monitored data writing allocation unit allocating a storing frequency to each type of monitored data based on the monitored data rate and an upper limit; a monitored data writing unit writing the monitored data to the temporary memory unit during the second time period based on the storing frequency; an accumulative memory unit storing the monitored data for a plurality of periods; and an accumulative data writing unit reading the monitored data for every third time period and storing the monitored data in the accumulative memory unit.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: August 1, 2017
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yoshitaka Koyama, Hiroyuki Iwakura
  • Patent number: 9704234
    Abstract: Methods and systems for detecting defects on a wafer using adaptive local thresholding and color filtering are provided. One method includes determining local statistics of pixels in output for a wafer generated using an inspection system, determining which of the pixels are outliers based on the local statistics, and comparing the outliers to the pixels surrounding the outliers to identify the outliers that do not belong to a cluster of outliers as defect candidates. The method also includes determining a value for a difference in color between the pixels of the defect candidates and the pixels surrounding the defect candidates. The method further includes identifying the defect candidates that have a value for the difference in color greater than or equal to a predetermined value as nuisance defects and the defect candidates that have a value for the difference in color less than the predetermined value as real defects.
    Type: Grant
    Filed: August 1, 2014
    Date of Patent: July 11, 2017
    Assignee: KLA-Tencor Corp.
    Inventors: Junqing Huang, Hucheng Lee, Kenong Wu, Lisheng Gao
  • Patent number: 9678021
    Abstract: In optical dark field defect inspection, the present invention provides including: condensing laser emitted from a light source in a line shape; reflecting the laser, with a mirror; irradiating the reflected laser via an objective lens to a sample placed on a table from a vertical direction; condensing reflected scattered light from the sample with the objective lens; shielding diffraction light occurred from a periodical pattern formed on the sample, in the reflected scattered light from the sample and scattered light occurred from the mirror, with a spatial filter; receiving the reflected scattered light from the sample, not shielded with the spatial filter, with an imaging lens, and forming an image of the reflected scattered light; detecting the image of the reflected scattered light; and processing a detection signal obtained by detecting the image of the reflected scattered light and detecting a defect on the sample.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: June 13, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuta Urano, Toshifumi Honda
  • Patent number: 9661218
    Abstract: A method produces an improved high resolution image. This method includes capturing low resolution images and a high resolution image and combining the low resolution images to provide an aggregate low resolution image. The method further includes reducing the resolution of the high resolution image to provide an image representative of a low resolution image at a low resolution setting of the image capture device; interpolating the representative low resolution image to provide an interpolated high resolution image; and calculating a residual image from the high resolution image and the interpolated high resolution image. The method also includes combining the representative low resolution image and the aggregate low resolution image to provide a final low resolution image and using the final low resolution image and the residual image to provide the improved high resolution image.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: May 23, 2017
    Assignee: MONUMENT PEAK VENTURES, LLC
    Inventor: Aaron T. Deever
  • Patent number: 9646372
    Abstract: An apparatus for inspecting flat objects, in particular wafers, containing an object holder; a camera arrangement having a camera for recording an image of at least one part of the object; and a drive arrangement for producing a relative movement between the camera arrangement and the object from a first recording position to at least one further recording position; is characterized in that the camera arrangement has at least one further camera; the object areas imaged in different cameras are at least partially different, wherein all cameras together simultaneously record only part of the total inspection area of the object; and each object point of the entire inspection area can be imaged at least once in one of the cameras as a result of the relative movement between the camera arrangement and the object, as produced with the drive arrangement.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: May 9, 2017
    Assignee: HSEB Dresden GmbH
    Inventor: Bernd Srocka
  • Patent number: 9640449
    Abstract: Photoreflectance spectroscopy is used to measure strain at or near the edge of a wafer in a production process. The strain measurement is used to anticipate defects and make prospective corrections in later stages of the production process. Strain measurements are used to associate various production steps with defects to enhance later production processes.
    Type: Grant
    Filed: April 16, 2015
    Date of Patent: May 2, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Timothy Goodwin, Lena Nicolaides, Mohan Mahadevan, Paul Horn, Shifang Li
  • Patent number: 9594030
    Abstract: An apparatus and a method to detect a defect or particle on a surface that involves combining an object radiation beam redirected by the surface with a reference radiation beam having a plurality of intensities lower than the object radiation beam, to produce a plurality of patterns detected by a detector in order to detect the defect or particle on the surface from the patterns.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 14, 2017
    Assignee: ASML HOLDING N.V.
    Inventors: Yuli Vladimirsky, Robert Tharaldsen
  • Patent number: 9587982
    Abstract: A compact spectrometer is disclosed that is suitable for use in mobile devices such as cellular telephones. In preferred embodiments, the spectrometer comprises a filter, at least one Fourier transform focusing element, a micro-lens array, and a detector, but does not use any dispersive elements. Methods for using the spectrometer as an end-user device for performing on site determinations of food quality, in particular, by comparison with an updatable database accessible by all users of the device, are also disclosed.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: March 7, 2017
    Assignee: VERIFOOD, LTD.
    Inventors: Damian Goldring, Dror Sharon
  • Patent number: 9588060
    Abstract: A non-destructive inspection apparatus includes a light source generating light, an optical coupler which divides the light, irradiates the divided light to a reference part and a sample part, generates coherent light, and transmits the coherent light to a detecting part, the reference part which phase-scans the irradiated light and reflects the light, the sample part which irradiates the light incident from the optical coupler to a display panel, and scans and reflects the light reflected from the display panel, the detecting part which obtains an image signal of the display panel from the coherent light, a transferring part which moves a position of the sample part, and the control part which generates an image of the display panel based on the image signal of the display panel transmitted from the detecting part and detects a foreign substance, and controls movement of the transferring part.
    Type: Grant
    Filed: November 13, 2014
    Date of Patent: March 7, 2017
    Assignees: SAMSUNG ELECTRONICS CO., LTD., OZ-TEC CO., LTD.
    Inventors: Yeong Ri Jung, Ki Wan Kim, Jae Young Kim
  • Patent number: 9584911
    Abstract: In accordance with embodiments of the present disclosure, a multichip circuit for processing audio signals having dynamic range enhancement information over two or more integrated circuits may include a host integrated circuit and a client integrated circuit. The host integrated circuit may be configured to determine a dynamic range enhancement gain for a digital audio input signal, process the digital audio input signal in accordance with the dynamic range enhancement gain, and transmit audio data based on the processed digital audio input signal. The client integrated circuit may be coupled to the host integrated circuit and may be configured to receive the audio data and wherein the client integrated circuit is provided with the dynamic range enhancement gain and the client integrated circuit is configured to process the audio data with the dynamic range enhancement gain.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: February 28, 2017
    Assignee: Cirrus Logic, Inc.
    Inventors: Tejasvi Das, John L. Melanson
  • Patent number: 9575012
    Abstract: In semiconductor fabrication processes, one or more wafers are often exposed to processes such as chemical vapor deposition to form semiconductor components thereupon. Often, some of the wafers exhibit flaws due to contamination or processing errors occurring before, during, or after component formation. Inspection of the wafers is often performed by direct visual inspection of humans, which is prone to errors due to flaws that are too small to view directly; to particles naturally arising in the human eye; and to fatigue caused by inspection of large numbers of wafers. Presented herein are inspection techniques involving positioning the wafer in a dark chamber exposing the surface of the wafer to a light source at a first angle, and capturing with a camera an image of the light source reflected from the surface of the wafer at a second angle. Wafers identified as exhibiting flaws are removed from the wafer set.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: February 21, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Hu-Wei Lin, Hsiao-Yu Chen, Jr-Wei Ye, Hong-Hsing Chou, Chih-Hsien Hsu, Tsung-Cheng Huang, Hua-Kuang Teng, Hsieh Chi-Jen, Chun-Chih Chen
  • Patent number: 9576861
    Abstract: Universal target based inspection drive metrology includes designing a plurality of universal metrology targets measurable with an inspection tool and measurable with a metrology tool, identifying a plurality of inspectable features within at least one die of a wafer using design data, disposing the plurality of universal targets within the at least one die of the wafer, each universal target being disposed at least proximate to one of the identified inspectable features, inspecting a region containing one or more of the universal targets with an inspection tool, identifying one or more anomalistic universal targets in the inspected region with an inspection tool and, responsive to the identification of one or more anomalistic universal targets in the inspected region, performing one or more metrology processes on the one or more anomalistic universal metrology targets with the metrology tool.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: February 21, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Allen Park, Ellis Chang, Michael Adel, Kris Bhaskar, Ady Levy, Amir Widmann, Mark Wagner, Songnian Rong
  • Patent number: 9568437
    Abstract: An inspection device is required to detect a minute defect, that is, to have high sensitivity as semiconductor devices become finer. There are some approaches for improving the sensitivity. One is to shorten the wavelength of illuminating light radiated onto a sample. This is because, assuming that the wavelength of the illuminating light is ?, I???4 is established between the magnitude of scattered light is I and ?. Another approach is to use illuminating light including multiple wavelengths. An approach for taking in more scattered light generated from the sample is also possible. However, an optical system suitable for these approaches has not been sufficiently found in conventional techniques. One feature of the present invention is to detect a defect by using a Wolter optical system including a Wolter mirror.
    Type: Grant
    Filed: May 19, 2014
    Date of Patent: February 14, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shunichi Matsumoto, Akira Hamamatsu, Takahiro Jingu
  • Patent number: 9557166
    Abstract: A system and method for measuring an item's dimensions using a time-of-flight dimensioning system is disclosed. The system and method mitigate multipath distortion and improve the accuracy of the measurements, especially in a mobile environment. To mitigate the multipath distortion, an imager captures an image of an item of interest. This image is processed to determine an illumination region corresponding item-of-interest's size, shape, and position. Using this information, an adjustable aperture's size, shape, and position are controlled so the light beam used in the time-of-flight analysis substantially illuminates the illumination region without first being reflected.
    Type: Grant
    Filed: October 21, 2014
    Date of Patent: January 31, 2017
    Assignee: Hand Held Products, Inc.
    Inventors: Serge Thuries, Alain Gillet, Franck Laffargue
  • Patent number: 9543393
    Abstract: The present invention discloses a group III nitride wafer such as GaN, AlN, InN and their alloys having one surface visually distinguishable from the other surface. After slicing of the wafer from a bulk crystal of group III nitride with a mechanical method such as multiple wire saw, the wafer is chemically etched so that one surface of the wafer is visually distinguishable from the other surface. The present invention also discloses a method of producing such wafers.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 10, 2017
    Assignees: SixPoint Materials, Inc., Seoul Semiconductor Co., Ltd.
    Inventors: Tadao Hashimoto, Edward Letts, Sierra Hoff
  • Patent number: 9539619
    Abstract: A high-speed method and system for inspecting a stream of parts at a pair of inspection stations and sorting the inspected parts is provided. The method includes directing a first array of angularly-spaced beams of collimated radiation at an outer peripheral surface of the part from a first side of a travel path when the part is located at a first inspection station to create a corresponding first array of unobstructed portions of the beams passing by and not blocked by the part. The method also includes directing a second array of angularly-spaced beams of collimated radiation at the outer peripheral surface of the part from a second side of the path when the part is located at a second inspection station to create a corresponding second array of unobstructed portions of the beams passing by and not blocked by the part.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: January 10, 2017
    Assignee: GII Acquisition, LLC
    Inventors: Nathan Andrew-Paul Kujacznski, James W. St. Onge, Michael G. Nygaard
  • Patent number: 9528908
    Abstract: This disclosure concerns a cleaning and inspection system for fiber optics that is rapid, reliable and useful for various types of fiber optics. In an embodiment, the system includes a wide field of view (FOV) camera to image the ferrule and rapidly locate the fiber ends and a narrow FOV camera to provide detailed inspection of individual fiber ends. A cleaning module with a cleaning tip and a cleaning medium that is drawn through the tip is used to clean the fiber ends. Images captured by the dual cameras are automatically enhanced and analyzed to determine the effectiveness of the cleaning process and to identify the types and quantity of defects present. In another embodiment, a single higher resolution camera is provided with a lens that can image an entire fiber array and yet enable defects to be detected by analysis of sub-images of each fiber in the fiber array.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: December 27, 2016
    Assignee: FIBERQA, LLC
    Inventors: Douglas H. Wilson, Jan R. Endresen
  • Patent number: 9528924
    Abstract: A photodetection device has an optical module (4) that includes a light source (7), an excitation optical system, and a detection optical system and that two-dimensionally and relatively scans a transparent stage (5) in a first sampling direction and a second sampling direction intersecting the first sampling direction. A scan length in the first sampling direction is longer than a scan length in the second sampling direction. A data sampling unit in the detection optical system performs sampling for a distance of a second sampling interval during scanning in the second sampling direction, and performs sampling for a distance of a first sampling interval shorter than the distance of the second sampling interval during scanning in the first sampling direction. An aperture (10) of the excitation optical system sets a size in the first sampling direction of a spot shape of excitation light from the light source (7) to be smaller than a size in the second sampling direction.
    Type: Grant
    Filed: August 20, 2014
    Date of Patent: December 27, 2016
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Masaki Tanaka
  • Patent number: 9524543
    Abstract: Systems and methods for identifying defective individual packaged modules are presented. A Printed Circuit Board (PCB) having a set of individual module substrates can be received. Further, capturing an image of the PCB and loading a PCB recipe associated with the PCB can be performed. The image of the PCB can be captured by an image capture module that can include one or more cameras. For each individual module substrate, a portion of the image corresponding to the individual module substrate can be compared to the PCB recipe. In addition, it can be determined based on the comparison whether the individual module substrate matches the PCB recipe within a degree of tolerance. In response to determining that the individual module substrate does not match the PCB recipe within the degree of tolerance, a location of the individual module substrate within a map of the PCB can be stored.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: December 20, 2016
    Assignee: Skyworks Solutions, Inc.
    Inventors: Carlos Fabian Nava, Viviano Almonte
  • Patent number: 9513215
    Abstract: Provided herein is an apparatus, including a photon emitter configured to emit photons onto a surface of an article at a number of azimuthal angles; and a processing element configured to process photon-detector-array signals corresponding to photons scattered from surface features of the article and generate one or more surface features maps for the article from the photon-detector-array signals corresponding to the photons scattered from the surface features of the article.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: December 6, 2016
    Assignee: Seagate Technology LLC
    Inventors: David M. Tung, Joachim W. Ahner
  • Patent number: 9513228
    Abstract: To increase the illumination efficiency by facilitating the change of the incident angle of illumination light with a narrow illumination width according to an inspection object and enabling an illumination region to be effectively irradiated with light, provided is a defect inspection method for obliquely irradiating a sample mounted on a table that is moving continuously in one direction with illumination light, collecting scattered light from the sample obliquely irradiated with the illumination light, detecting an image of the surface of the sample formed by the scattered light, processing a signal obtained by detecting the image formed by the scattered light, and extracting a defect candidate, wherein the oblique irradiation of the light is implemented by linearly collecting light emitted from a light source, and obliquely projecting the collected light onto the surface of the sample, thereby illuminating a linear region on the surface of the sample.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: December 6, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yukihiro Shibata, Kei Shimura, Sachio Uto, Toshifumi Honda
  • Patent number: 9506872
    Abstract: An inspection method and apparatus for detecting defects or haze of a sample, includes illuminating light to the sample from an oblique direction relative to a surface of the sample with an illuminator, detecting first scattered light at a forward position relative to an illuminating direction from the sample with a first detector, detecting second scattered light at a sideward or backward position relative to the illuminating direction from the sample with a second detection, and processing a first signal of the first scattered light and a second signal of the second scattered light with different weighting for the first signal and for the second signal with a processor.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: November 29, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yoshimasa Oshima, Yuta Urano, Toshiyuki Nakao
  • Patent number: 9494558
    Abstract: Noise included in detection signals is distinguished with a simple configuration. Provided is a flaw-detection apparatus (1) including a flaw-detection sensor group (11) in which two flaw-detection sensors (11a and 11b) are arranged substantially in one row in a scanning direction with a distance therebetween and a processing device (15) that detects a defect in an inspection object on the basis of detection signals detected by the individual flaw-detection sensors (11a and 11b), wherein, with regard to the detection signals detected by the flaw-detection sensors (11a and 11b), when signal values detected at substantially a same positional coordinate in the scanning direction are not similar to each other, and, additionally, when signal values measured at a same time are similar to each other, the processing device (15) determines that the detection signals are not defect signals.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: November 15, 2016
    Assignee: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Kayoko Kawata, Masaaki Kurokawa, Masayoshi Higashi, Masaya Takatsugu, Yoshihiro Asada
  • Patent number: 9482526
    Abstract: In an improved method for the representation of the surface of an object, the actual 3D data (7) of the surface of the object are determined. The desired 3D data of the surface of the object are modified on the basis of the actual 3D data (7) of the surface of the object. The desired 3D data (5) of the surface of the object and the modified desired 3D data (5) of the surface of the object are used as the 3D representation data (FIG. 2).
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: November 1, 2016
    Assignee: STEINBICHLER OPTOTECHNIK GMBH
    Inventors: Horst Winterberg, Matthias Prams, Marcus Steinbichler
  • Patent number: 9477349
    Abstract: A touch panel including a light guide plate, a plurality of light sources and a plurality of detectors is provided. The light guide plate has a top surface, a bottom surface, a plurality of side surfaces and a plurality of light incident surfaces. The light sources are disposed at the light incident surfaces of the light guide plate, and the light sources have a photocell total reflection angle (?) and a photocell vertical divergence angle (?) corresponding to the light incident surfaces of the light guide plate, wherein ?<?<60°, ? is a critical angle of the total reflection angle of the light guide plate, and ?<50°. The detectors are disposed corresponding to the light sources so as to receive photocell signals from the light sources.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: October 25, 2016
    Assignee: Au Optronics Corporation
    Inventors: Chiung-Han Wang, Shau-Yu Tsai, Chih-Wei Chien, Wei-Tien Chang
  • Patent number: 9471965
    Abstract: A first edge strength calculation circuit calculates an edge strength of each pixel in the image on the basis of results of detection by the first edge detection circuit. A filter strength setting unit sets a filter strength of a two-dimensional lowpass filter for each pixel, on the basis of the edge strength calculated by the edge strength calculation circuit. A second edge strength calculation circuit calculates an edge strength of each pixel in the image, on the basis of results of detection by the second edge detection circuit. An enhancement strength setting circuit sets an edge enhancement strength of the edge enhancement filter for each pixel, on the basis of the edge strength calculate by the second edge strength calculation circuit.
    Type: Grant
    Filed: September 29, 2015
    Date of Patent: October 18, 2016
    Assignee: MegaChips Corporation
    Inventors: Akira Okamoto, Takuya Sawada, Hideki Daian
  • Patent number: 9470640
    Abstract: A defect inspection method and device for irradiating a linear region on a surface-patterned sample mounted on a table, with illumination light from an inclined direction to the sample, next detecting in each of a plurality of directions an image of the light scattered from the sample irradiated with the illumination light, then processing signals obtained by the detection of the images of the scattered light, and thereby detecting a defect present on the sample; wherein the step of detecting the scattered light image in the plural directions is performed through oval shaped lenses in which elevation angles of the optical axes thereof are different from each other, within one plane perpendicular to a plane formed by the normal to the surface of the table on which to mount the sample and the longitudinal direction of the linear region irradiated with the irradiation light.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: October 18, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shunichi Matsumoto, Atsushi Taniguchi, Toshifumi Honda, Yukihiro Shibata, Yuta Urano
  • Patent number: 9465301
    Abstract: A liquid supply system for an immersion lithographic projection apparatus is disclosed in which a space is defined between the projection system, a barrier member and a substrate. The barrier member is not sealed such that, during use, immersion liquid is allowed to flow out the space and between the barrier member and the substrate.
    Type: Grant
    Filed: June 23, 2014
    Date of Patent: October 11, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Helmar Van Santen, Aleksey Kolesnychenko