Surface Condition Patents (Class 356/237.2)
  • Patent number: 11051001
    Abstract: Methods, systems, and techniques for generating two-dimensional (2D) and three-dimensional (3D) images and image streams. The images and image streams may be generated using active stereo cameras projecting at least one illumination pattern, or by using a structured light camera and a pair of different illumination patterns of which at least one is a structured light illumination pattern. When using an active stereo camera, a 3D image may be generated by performing a stereoscopic combination of a first set of images (depicting a first illumination pattern) and a 2D image may be generated using a second set of images (optionally depicting a second illumination pattern). When using a structured light camera, a 3D image may be generated based on a first image that depicts a structured light illumination pattern, and a 2D image may be generated from the first image and a second image that depicts a different illumination pattern.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: June 29, 2021
    Assignee: AVIGILON CORPORATION
    Inventors: Barry Gravante, Pietro Russo, Mahesh Saptharishi
  • Patent number: 11044412
    Abstract: Systems and methods for observing a substrate, and laser operations thereon, are described. Dark field illumination is directed through a camera port of a laser beam delivery system and through laser beam delivery system optics of the laser beam delivery system onto the substrate. Simultaneously, a high dynamic range (HDR) camera system to observe light reflected from the substrate and transmitted through the laser beam delivery system optics of the laser beam delivery system to the camera port of the laser beam delivery system. The wavelengths of a pilot laser of the laser beam delivery system reaching the lensing system of the HDR camera system may be attenuated in intensity and may be confined to a peripheral, off-axis optical path.
    Type: Grant
    Filed: May 12, 2020
    Date of Patent: June 22, 2021
    Assignee: XIRIS AUTOMATION INC.
    Inventors: Cameron Serles, Vladimir Chpakovski
  • Patent number: 11036147
    Abstract: A system for estimating front side overlay on a sample based on shape data is disclosed. The system includes a characterization sub-system and a controller. The controller includes one or more processors configured to: generate a vacuum hole map of a vacuum chuck; generate a vacuum force distribution across a sample based on the generated vacuum hole map of the vacuum chuck; determine shape data of the sample based on the vacuum force distribution and an identified relationship between backside surface roughness and vacuum force of the vacuum chuck; and convert the shape data of the sample to an overlay value of a frontside surface of the sample.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: June 15, 2021
    Assignee: KLA Corporation
    Inventors: Jian Shen, Ningqi Zhu, John McCormack, Yanfei Sun
  • Patent number: 11025891
    Abstract: Methods, systems, and techniques for generating two-dimensional (2D) and three-dimensional (3D) images and image streams. The images and image streams may be generated using active stereo cameras projecting at least one illumination pattern, or by using a structured light camera and a pair of different illumination patterns of which at least one is a structured light illumination pattern. When using an active stereo camera, a 3D image may be generated by performing a stereoscopic combination of a first set of images (depicting a first illumination pattern) and a 2D image may be generated using a second set of images (optionally depicting a second illumination pattern). When using a structured light camera, a 3D image may be generated based on a first image that depicts a structured light illumination pattern, and a 2D image may be generated from the first image and a second image that depicts a different illumination pattern.
    Type: Grant
    Filed: June 7, 2019
    Date of Patent: June 1, 2021
    Assignee: AVIGILON CORPORATION
    Inventors: Barry Gravante, Pietro Russo, Mahesh Saptharishi
  • Patent number: 11018063
    Abstract: A measurement apparatus for measuring dimensions within a semiconductor device includes an illumination source configured to direct light onto a stage configured to hold the semiconductor device, and a detection assembly configured to receive light diffracted by the semiconductor device, in which the detection assembly includes a detector configured to receive light diffracted by the semiconductor device and determine a measurement of a periodic structure within the semiconductor device based on the received diffracted light, and a diffraction pattern filter configured to permit light diffracted by the periodic structure to be measured to reach the detector and block at least a portion of light diffracted by other structures in the semiconductor device from reaching the detector. Embodiments include methods of measuring a semiconductor device using the measurement apparatus and methods of making the diffraction pattern filter.
    Type: Grant
    Filed: November 26, 2018
    Date of Patent: May 25, 2021
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventor: Koetsu Sawai
  • Patent number: 11004712
    Abstract: Disclosed are methods of inspecting semiconductor wafers, inspection systems for performing the same, and methods of fabricating semiconductor devices using the same. A method of inspecting a semiconductor wafer including preparing a wafer including zones each having patterns, obtaining representative values for the patterns, scanning the patterns under an optical condition to obtain optical signals for the patterns, each of the optical signals including optical parameters, selecting a representative optical parameter that is one of the optical parameters that has a correlation with the representative values, obtaining a reference value of the representative optical parameter for a reference pattern, and obtaining a defect of an inspection pattern by comparing the reference value with an inspection value of the representative optical parameter for the inspection pattern.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: May 11, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung Yoon Ryu, Joonseo Song, Souk Kim, Younghoon Sohn, Yusin Yang, Chihoon Lee
  • Patent number: 10987977
    Abstract: A tire wear tracking system including network interface hardware configured to communicatively couple a vehicle with a remote server, a processor, and a memory module that stores one or more processor-readable instructions. When executed by the processor, the one or more processor-readable instructions cause the tire wear tracking system to receive image data of one or more tires of a vehicle from one or more image sensors, determine tire information associated with the one or more tires, retrieve reference image data based on the determined tire information, compare the received image data with the retrieved reference image data; and generate an alert based on the comparison between the received image data and the retrieved reference image data.
    Type: Grant
    Filed: October 26, 2018
    Date of Patent: April 27, 2021
    Assignee: TOYOTA MOTOR NORTH AMERICA, INC.
    Inventor: Nishikant N. Puranik
  • Patent number: 10989679
    Abstract: A time-resolved photoemission electron microscopy including: a laser light source that outputs a pulse having less than or equal to a femtosecond level pulse width and variable repetition frequency; a pump light pulse generator configured to generate pump light pulse that excites photo-carriers of a sample by converting wavelength of light output from the laser light source; and a probe light pulse generator configured to generate probe light pulse that photo-emits photo-carriers excited by the pump light pulse from the sample by photoelectric effect by converting wavelength of light output from the laser light source. The energy of at least one of the pump light pulse and the probe light pulse is configured to continuously vary in a range not less than 0.1 eV and not more than 8 eV.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: April 27, 2021
    Assignees: TOKYO INSTITUTE OF TECHNOLOGY, INTER-UNIVERSITY RESEARCH INSTITUTE CORPORATION HIGH ENERGY ACCELERATOR RESEARCH ORGANIZATION
    Inventors: Shinya Koshihara, Keiki Fukumoto
  • Patent number: 10984516
    Abstract: Provided are an image inspection device and an illumination device capable of thinning and downsizing the illumination device. An image inspection device includes a photographing part photographing an object, a light transmissive illumination part, and an optical member. The illumination part is arranged between the object and the photographing part and has a light emitting surface arranged to face the object. The optical member is arranged on the light emitting surface of the illumination part and transmits light emitted by the illumination part toward the object. The optical member includes a plurality of optical regions. The optical regions are arranged so as to surround a central region of the light emitting surface centered on an optical axis of the photographing part, and are configured to emit light having mutually different color characteristics toward the object.
    Type: Grant
    Filed: October 17, 2018
    Date of Patent: April 20, 2021
    Assignee: OMRON Corporation
    Inventor: Yutaka Kato
  • Patent number: 10957035
    Abstract: A semiconductor die is inspected using an optical microscope to generate a test image of the semiconductor die. A difference image between the test image of the semiconductor die and a reference image is derived. For each defect of a plurality of defects for the semiconductor die, a point-spread function is fit to the defect as indicated in the difference image and one or more dimensions of the fitted point-spread function are determined. Potential defects of interest in the plurality of defects are distinguished from nuisance defects, based at least in part on the one or more dimensions of the fitted point-spread function for respective defects of the plurality of defects.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: March 23, 2021
    Assignee: KLA Corporation
    Inventors: Soren Konecky, Bjorn Brauer
  • Patent number: 10935501
    Abstract: An optical metrology device, such as an interferometer, detects sub-resolution defects on a sample, i.e., defects that are smaller than a pixel in the detector array of the interferometer. The optical metrology device obtains optical metrology data at each pixel in at least one detector array and determines parameter values of a signal model for a pixel of interest using the optical metrology data received by a plurality of pixels neighboring a pixel of interest. A residual for the pixel of interest is determined using the optical metrology data received by the pixel of interest and determined parameter values for the signal model for the pixel of interest. A defect, which may be smaller than the pixel of interest can then be detected based on the residual for the pixel of interest.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: March 2, 2021
    Assignee: Onto Innovation Inc.
    Inventor: Nigel P. Smith
  • Patent number: 10935893
    Abstract: Disclosed are apparatus and methods for determining process or structure parameters for semiconductor structures. A plurality of optical signals is acquired from one or more targets located in a plurality of fields on a semiconductor wafer. The fields are associated with different process parameters for fabricating the one or more targets, and the acquired optical signals contain information regarding a parameter of interest (POI) for a top structure and information regarding one or more underlayer parameters for one or more underlayers formed below such top structure. A feature extraction model is generated to extract a plurality of feature signals from such acquired optical signals so that the feature signals contain information for the POI and exclude information for the underlayer parameters. A POI value for each top structure of each field is determined based on the feature signals extracted by the feature extraction model.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: March 2, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Stilian Ivanov Pandev, Andrei V. Shchegrov
  • Patent number: 10909673
    Abstract: Systems and methods for detecting the cracks in illuminated electronic device screens are disclosed. In one embodiment, the method includes receiving an image of an electronic device screen and retrieving a plurality of kernels, each having values corresponding to a line region and a non-line region, with the orientation of the line region and the non-line region differing for each kernel. At least some of the kernels are applied to the image to obtain, at various locations of the image, values corresponding to the line regions and the non-line regions. Based on the values corresponding to the line regions and the non-line regions, cracks are automatically identified in the electronic device screen.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: February 2, 2021
    Assignee: ecoATM, LLC
    Inventors: Babak Forutanpour, Jeffrey Ploetner
  • Patent number: 10865139
    Abstract: A large-size synthetic quartz glass substrate has a diagonal length of at least 1,000 mm. Provided that an effective range is defined on the substrate surface, and the effective range is partitioned into a plurality of evaluation regions such that the evaluation regions partly overlap each other, a flatness in each evaluation region is up to 3 ?m. From the quartz glass substrate having a high flatness and a minimal local gradient within the substrate surface, a large-size photomask is prepared.
    Type: Grant
    Filed: May 7, 2018
    Date of Patent: December 15, 2020
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yoko Ishitsuka, Atsushi Watabe, Daijitsu Harada, Masaki Takeuchi
  • Patent number: 10863661
    Abstract: A substrate working device including: an imaging device configured to image a substrate; a memory device configured to memorize various information; and an image processing device configured to perform, when specified work is performed with respect to multiple substrates, for a leading substrate, leading-substrate processing of performing imaging processing for detecting a specified detection target required for the specified work with respect to a leading image obtained by imaging the leading substrate using the imaging device, acquiring region information related to a region in the leading image in which the detection target was detected, and memorizing the region information in the memory device, and, for subsequent substrates except for the leading substrate, subsequent-substrate processing of setting a partial processing region in a subsequent image of the subsequent substrate captured by the imaging device based on the region information, and performing the image processing with respect to the set proce
    Type: Grant
    Filed: February 23, 2017
    Date of Patent: December 8, 2020
    Assignee: FUJI CORPORATION
    Inventors: Masafumi Amano, Yuki Inaura, Mikiya Suzuki, Shuichiro Kito
  • Patent number: 10845187
    Abstract: Remote measurements using images are particularly useful in structural health monitoring cases in which the installation of contact sensors is difficult. Some limitations, though, associated with photogrammetry-type optical metrology involve the application of speckle patterns, which become even more important with variable working distance or when the required resolution and sensitivity are not a priori known. In this context, multispectral sensing combined with tailored speckle patterns can circumvent some of the challenges of acquiring data at different working distances. The present invention uses multispectral imaging combined controlled generation of speckle patterns to demonstrate an approach for remote sensing related to deformation measurements at the structural level. To demonstrate this approach, two speckle patterns were designed for measurements at specified working distances.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: November 24, 2020
    Assignee: Drexel University
    Inventors: Antonios Kontsos, Fnu Melvin Domin Mathew, Andrew James Ellenberg, Ivan Bartoli
  • Patent number: 10839506
    Abstract: A convolutional neural network may be trained to inspect subjects such as carbon fiber propellers for surface flaws or other damage. The convolutional neural network may be trained using images of damaged and undamaged subjects. The damaged subjects may be damaged authentically during operation or artificially by manual or automated means. Additionally, images of undamaged subjects may be synthetically altered to depict damages, and such images may be used to train the convolutional neural network. Images of damaged and undamaged subjects may be captured for training or inspection purposes by an imaging system having cameras aligned substantially perpendicular to subjects and planar light sources aligned to project light upon the subjects in a manner that minimizes shadows and specular reflections. Once the classifier is trained, patches of an image of a subject may be provided to the classifier, which may predict whether such patches depict damage to the subject.
    Type: Grant
    Filed: July 8, 2019
    Date of Patent: November 17, 2020
    Assignee: Amazon Technologies, Inc.
    Inventors: Aniruddh Raghu, Joseph Rutland, Christian Leistner, Andres Perez Torres
  • Patent number: 10816482
    Abstract: The present disclosure regards a large area functional metrology system for inspecting nanophotonic devices. The large area functional metrology system can include one or more light sources, optical components such as lenses and polarizers, and one or more camera sensors. The light source can irradiate light onto a nanophotonic device while the optical components can guide the light through the system and modulate states of the light. The camera sensor can record images of the nanophotonic device interacting with the irradiated light. The images can be taken as a function of one or more states. The system can also include a detector which can processes the images in order to detect defects. The defects can then be classified using one or more defect signatures. Based on this classification, the root causes of the defects can be automatically identified.
    Type: Grant
    Filed: October 26, 2017
    Date of Patent: October 27, 2020
    Assignee: Board of Regents, The University of Texas System
    Inventors: S. V. Sreenivasan, Brian Gawlik, Shrawan Singhal
  • Patent number: 10816952
    Abstract: A control method for a processing apparatus includes the steps of suspending a processing operation of a processing unit during the formation of a processed groove and then imaging the processed groove by using an imaging unit to obtain a detected image, inspecting the condition of the processed groove according to the detected image, inputting a selected one of plural parameters into an input area displayed on a touch panel from an operator in adjusting the parameters to optimize inspection conditions, moving an input cursor from the input area according to the input of the selected parameter, and executing the inspection of the processed groove by using the selected parameter input in the input area.
    Type: Grant
    Filed: February 27, 2019
    Date of Patent: October 27, 2020
    Assignee: DISCO CORPORATION
    Inventor: Satoshi Miyata
  • Patent number: 10816923
    Abstract: A print head includes a memory, an input and output unit, and a plurality of light emitting elements. The memory is configured to store a first light quantity value obtained by measuring a light quantity of each of the plurality of light emitting elements when supplied with a first reference current value, and a light quantity difference value between the first light quantity value and a second light quantity value obtained by measuring a light quantity of each of the plurality of light emitting elements when supplied with a second reference current value. The input and output unit is configured to output the first light quantity value and the light quantity difference value and receive a correction value determined based on the first light quantity value and the light quantity difference value. The light emitting elements are configured to emit light based on a correction current value corresponding to the correction value.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: October 27, 2020
    Assignee: TOSHIBA TEC KABUSHIKI KAISHA
    Inventor: Shigeru Morino
  • Patent number: 10818528
    Abstract: Self-contained metrology wafer carrier systems and methods of measuring one or more characteristics of semiconductor wafers. The wafer carrier system may include a housing configured for transport within the automated material handling system. A support is configured to support a semiconductor wafer within a housing. A metrology system is disposed within the housing. The metrology system is operable to measure at least one characteristic of the wafer. The metrology system may include a sensing unit and a computing unit operably connected to the sensing unit.
    Type: Grant
    Filed: January 15, 2019
    Date of Patent: October 27, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Abner Bello, Stephanie Waite, William J. Fosnight, Thomas Beeg
  • Patent number: 10809631
    Abstract: A method of monitoring a device manufacturing process, the method including; obtaining an estimated time variation of a process parameter; determining, on the basis of the estimated time variation, a sampling plan for measurements to be performed on a plurality of substrates to obtain information about the process parameter; measuring substrates in accordance with the sampling plan to obtain a plurality of measurements; and determining an actual time variation of the process parameter on the basis of the measurements.
    Type: Grant
    Filed: April 12, 2018
    Date of Patent: October 20, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Everhardus Cornelis Mos, Jochem Sebastiaan Wildenberg, Marcel Hendrikus Maria Beems, Erik Johannes Maria Wallerbos
  • Patent number: 10811290
    Abstract: In an embodiment, a workstation includes: a processing chamber configured to process a workpiece; a load port configured to interface with an environment external to the workstation; a robotic arm configured to transfer the workpiece between the load port and the processing chamber; and a defect sensor configured to detect a defect along a surface of the workpiece when transferred between the load port and the processing chamber.
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: October 20, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yan-Hong Liu, Chien-Chih Wu, Che-Fu Chen
  • Patent number: 10770298
    Abstract: Provided is an automatic inspection device and method for inspecting processing quality of laser processing equipment that forms a modified area by irradiating a laser beam into an object to be processed. The automatic inspection device includes: an image film coated on a bottom surface of the object to be processed; an image sensing unit configured to detect a damage image of the object to be processed formed on the image film through irradiation of the laser beam; and an image processing unit configured to process the damage image detected by the image sensing unit.
    Type: Grant
    Filed: August 17, 2016
    Date of Patent: September 8, 2020
    Assignee: EO TECHNICS CO., LTD.
    Inventors: Hak Yong Lee, Sang Young Park, Nack Hoon Kim, Ho Chul Choi
  • Patent number: 10769774
    Abstract: The application provides a method and a device for detecting a defect in a steel plate, as well as an apparatus and a server therefor. The method for detecting a defect in a steel plate comprises: receiving image data of the steel plate, and generating a defect detection request according to the image data; monitoring computing loads of a plurality of servers, and sending the image data and the defect detection request to a first server; receiving, from the first server, a detection result obtained by calculating the image data using the detection model; and operating according to the detection result, wherein the detection result comprises a selected one of a pass result and a defect result. With the proposed method, the position and the classification of the at least one defect can be obtained, so that the detection accuracy is improved.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: September 8, 2020
    Assignee: BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD.
    Inventors: Jiabing Leng, Minghao Liu, Yang Liang, Yawei Wen, Faen Zhang, Jiangliang Guo, Jin Tang, Shiming Yin
  • Patent number: 10763148
    Abstract: A semiconductor defects inspection apparatus for inspection of bubble defects of an object is provided. The semiconductor defects inspection apparatus includes a carrier, an optical system, an infrared image capturing device, and a processing unit. The carrier is adapted for bearing the object. The optical system provides an illumination beam to the object to produce an image beam. The infrared image capturing device is disposed on a transmission path of the image beam. The infrared image capturing device is adapted for receiving the image beam to be transformed into an image information. The processing unit is electrically connected to the infrared image capturing device and adapted for analyzing the object according to the image information.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: September 1, 2020
    Assignee: UTECHZONE CO., LTD.
    Inventors: Chien-Wen Huang, Po-Tsung Lin, Chih-Heng Fang
  • Patent number: 10764570
    Abstract: The present invention relates to a rotating inspector for a camera module. The rotating inspector includes a rotary table having one or more socket units on which the camera module mounted; a plurality of inspection devices disposed around the rotary table to inspect the camera module; test boards disposed on the rotary table, each of test boards being connected to the one or more socket units, respectively to control the camera module and generate inspection data for the camera module; a first data processing unit disposed on the rotary table and analyzing the inspection data to generate result data for possible defects of the camera module; a second data processing unit disposed outside the rotary table, the second data processing unit being configured to receive the result data and to sort and store the received data; and a data transmission unit transmitting the result data generated in the first data processing unit to the second data processing unit.
    Type: Grant
    Filed: January 20, 2019
    Date of Patent: September 1, 2020
    Assignee: ISMedia Co., Ltd.
    Inventors: Seong Cheol Hong, Dong Choon Kim, Sung Oh Yim, Yong Woo Han, Eun Seok Shin, Cheon Su Mun, Min Seog Choi
  • Patent number: 10748284
    Abstract: An image processing device includes a processor comprising hardware, wherein the processor is configured to execute: acquiring intraluminal images; generating, for each of the intraluminal images, lesion information by estimating a visual point with respect to a lesion region extracted from each of the intraluminal images and analyzing a three-dimensional structure of the lesion, the lesion information indicating any of a top portion, a rising portion, and a marginal protruding portion in the lesion region; and extracting, based on the lesion information, a target image satisfying a prescribed condition from the intraluminal images.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: August 18, 2020
    Assignee: OLYMPUS CORPORATION
    Inventors: Takashi Kono, Yamato Kanda, Takehito Hayami
  • Patent number: 10732128
    Abstract: There may be provided a method for evaluating an object, that may include evaluating a region of the object by a first evaluation module to provide first evaluation results that are related to multiple sites of the region; finding, using a mapping between values of first evaluation results and values of second evaluation results, (a) a first site of the multiple sites that does not require an evaluation by a second evaluation module, and (b) a second site of the multiple sites that requires an evaluation by the second evaluation module; wherein the second evaluation module is more reliable than the first evaluation module; evaluating the second site by the second evaluation module to provide second evaluation results of the second sites; estimating, based on first evaluation results of the first site and on the mapping, a state of the first site; and providing an evaluation of the region based on the state of the first site, and on the second evaluation result of the second site.
    Type: Grant
    Filed: October 17, 2018
    Date of Patent: August 4, 2020
    Inventor: Menachem Regensburger
  • Patent number: 10732915
    Abstract: A manufacturing assistance apparatus includes a display, an imaging device, a completion determining unit, and a display controller. The display is configured to display operation information that is related to any of operation processes of a workpiece. The imaging device is configured to perform imaging of the workpiece, and output image data obtained by the imaging. The completion determining unit is configured to determine whether current one of the operation processes is completed, on a basis of the image data outputted from the imaging device. The display controller is configured to cause, when the current one of the operation processes is determined by the completion determining unit as being completed, the display to display the operation information that is related to subsequent one of the operation processes.
    Type: Grant
    Filed: October 30, 2018
    Date of Patent: August 4, 2020
    Assignee: SUBARU CORPORATION
    Inventors: Yasunori Shibao, Yuichi Nagai, Iwao Murata, Marosuke Kikuchi, Kei Suzuki
  • Patent number: 10725390
    Abstract: An inspection substrate for inspecting a component, such as a liquid confinement system, of an apparatus for processing production substrates is discussed. The inspection substrate includes a body having dimensions similar to a production substrate so that the inspection substrate is compatible with the apparatus, an illumination device, such as light emitting diodes, embedded in the body, a sensor, such as an imaging device or a pressure sensor, that is embedded in the body and configured to generate inspection information, such as image data, relating to a parameter of the component of the apparatus proximate to the inspection substrate, and a storage device embedded in the body and configured to store the inspection information.
    Type: Grant
    Filed: January 16, 2019
    Date of Patent: July 28, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Seerwan Saeed, Petrus Martinus Gerardus Johannes Arts, Harold Sebastiaan Buddenberg, Erik Henricus Egidius Catharina Eummelen, Giovanni Luca Gattobigio, Floor Lodewijk Keukens, Ferdy Migchelbrink, Jeroen Arnoldus Leonardus Johannes Raaymakers, Arnoldus Johannes Martinus Jozeph Ras, Gheorghe Tanasa, Jimmy Matheus Wilhelmus Van De Winkel, Daan Daniel Johannes Antonius Van Sommeren, Marijn Wouters, Miao Yu
  • Patent number: 10717269
    Abstract: A print quality examination device is provided in a printing machine (1) provided with a printing unit (3) that performs printing on a mirror-reflection member (5a) of a sheet (5) to which the mirror-reflection member (5a) is added. The print quality examination device of the printing machine is provided with an examination camera (18a) that images a picture printed on the sheet (5), a light source (18h) that irradiates the sheet (5) with light, and a print quality examination unit (18g) that examines the quality of the picture printed by the printing unit (3) based on image data imaged by the examination camera (18a). The examination camera (18a) or the light source (18h) is configured to be movably supported on an arc about a detection point (P) imaged by the examination camera (18a) for the sheet (5).
    Type: Grant
    Filed: July 12, 2017
    Date of Patent: July 21, 2020
    Assignees: KOMORI CORPORATION, GLORY LTD.
    Inventors: Hiromitsu Numauchi, Takashi Suto, Sayuri Yanagiuchi, Ryuzo Tanigawa
  • Patent number: 10705027
    Abstract: A detecting method of optically detecting a surface defect of a moving steel material includes an irradiation step of irradiating an examination target part with illumination light beams from different directions by two or more distinguishable light sources whose light emission durations are set based on at least an allowable positional displacement of an image, the two or more distinguishable light sources repeatedly emitting light such that their light emission timings thereof do not overlap each other; and a detection step of obtaining images by reflected light beams of the respective illumination light beams and detecting a surface defect in the examination target part by executing subtraction processing between the obtained images.
    Type: Grant
    Filed: August 27, 2018
    Date of Patent: July 7, 2020
    Assignee: JFE Steel Corporation
    Inventors: Hiroaki Ono, Toshifumi Kodama, Takahiro Koshihara, Akihiro Ogawa, Yukinori Iizuka
  • Patent number: 10698006
    Abstract: An inspection apparatus includes a tester unit that applies a stimulus signal to a semiconductor apparatus, an MO crystal arranged to face a semiconductor apparatus, a light source that outputs light, an optical scanner that irradiates the MO crystal with light output from light source, a light detector that detects light reflected from the MO crystal arranged to face the semiconductor apparatus D and outputs a detection signal, and a computer that generate phase image data based on a phase difference between a reference signal generated based on a stimulus signal and the detection signal, the phase image data including a phase component indicating the phase difference, and generates an image indicating a path of a current from the phase image data.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: June 30, 2020
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Tomonori Nakamura, Akihiro Otaka
  • Patent number: 10697762
    Abstract: An apparatus for checking tires described as a linear camera having an objective line lying on an optical plane; a first, a second and a third light source for emitting respectively a first, a second and a third light radiation; a command and control unit for selectively activating at least one from among the first, second and third light source and activating the linear camera in order to acquire a two-dimensional image of a linear surface portion of the tire synchronously with the activation of the first, second and third source. The first and second light source lie on opposite sides of the optical plane. Furthermore, the first, second and third light source include each one or more sub-sources each having a respective main extension direction parallel to the optical plane and the distance of the sub-sources of the third light source from the optical plane is less than the distance of the first and second light source from the optical plane.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: June 30, 2020
    Assignee: PIRELLI TYRE S.P.A.
    Inventors: Vincenzo Boffa, Alessandro Held, Valeriano Ballardini, Giuseppe Casadio Tozzi
  • Patent number: 10690544
    Abstract: Reflective imager sub-systems that have a non-circular entrance pupil and provide substantially increased throughput to a detecting component of a system are disclosed.
    Type: Grant
    Filed: October 17, 2018
    Date of Patent: June 23, 2020
    Assignee: Wavefront Research, Inc.
    Inventor: Thomas A. Mitchell
  • Patent number: 10670537
    Abstract: A inspection system includes an illumination source to generate an illumination beam, focusing elements to direct the illumination beam to a sample, a detector, collection elements configured to direct radiation emanating from the sample to the detector, a detection mode control device to image the sample in two or more detection modes such that the detector generates two or more collection signals based on the two or more detection modes, and a controller. Radiation emanating from the sample includes at least radiation specularly reflected by the sample and radiation scattered by the sample. The controller determines defect scattering characteristics associated with radiation scattered by defects on the sample based on the two or more collection signals. The controller also classifies the one or more particles according to a set of predetermined defect classifications based on the one or more defect scattering characteristics.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: June 2, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Guoheng Zhao, J. K. Leong, Michael Kirk
  • Patent number: 10655956
    Abstract: A displacement measuring apparatus includes an illumination system to obliquely irradiate the target object surface with beams, a sensor to receive a reflected light from the target object surface, an optical system to diverge the reflected light in a Fourier plane with respect to the target object surface, a camera to image a diverged beam in the Fourier plane, a gravity center shift amount calculation circuitry to calculate a gravity center shift amount of the reflected light in the light receiving surface of the sensor, based on a light quantity distribution of the beam imaged by the camera, and a measurement circuitry to measure a heightwise displacement of the target object surface by an optical lever method, using information on a corrected gravity center position obtained by correcting the gravity center position of the reflected light received by the sensor by using the gravity center shift amount.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: May 19, 2020
    Assignee: NuFlare Technology, Inc.
    Inventors: Riki Ogawa, Hiroyuki Nagahama
  • Patent number: 10627220
    Abstract: An apparatus for checking tires described as a linear camera having an objective line lying on an optical plane; a first, a second and a third light source for emitting respectively a first, a second and a third light radiation; a command and control unit for selectively activating at least one from among the first, second and third light source and activating the linear camera in order to acquire a two-dimensional image of a linear surface portion of the tire synchronously with the activation of the first, second and third source. The first and second light source lie on opposite sides of the optical plane. Furthermore, the first, second and third light source include each one or more sub-sources each having a respective main extension direction parallel to the optical plane and the distance of the sub-sources of the third light source from the optical plane is less than the distance of the first and second light source from the optical plane.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: April 21, 2020
    Assignee: PIRELLI TYRE S.P.A.
    Inventors: Vincenzo Boffa, Alessandro Held, Valeriano Ballardini, Giuseppe Casadio Tozzi
  • Patent number: 10612913
    Abstract: Apparatus and methods are described for determining the tomography and/or topography of an object. A light source generates light, and an optical element generates a 2D pattern from the light and directs the 2D pattern toward the object. An objective lens focuses the 2D pattern at an image plane, and a 2D imager acquires at least one image of the 2D pattern. The image has variable image contrast that varies according to displacement of a surface of the object from the image plane, such that maximal image contrast of the 2D pattern is achieved when the surface of the object and the image plane are coincident. A processing unit, operatively coupled to the 2D imager, derives the object's tomography and/or topography at least partially responsively to the variable image contrast of the image. Other applications are also described.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: April 7, 2020
    Assignee: ADOM, ADVANCED OPTICAL TECHNOLOGIES LTD.
    Inventors: Yoel Arieli, Yoel Cohen
  • Patent number: 10574180
    Abstract: An innovative portable reflected light sensor for non-destructively measuring characteristics of performance enhancement coatings applied to substrates such as solar photovoltaic panels is described. The innovative portable sensor provides a light source and a photodetector for measuring light incident on a substrate surface from the light source, and reflected to the photodetector. The spot size of the illuminated region of the substrate is at least 1 cm2 in area, thus averaging over a relatively wide portion of the substrate surface relative to existing fiber optic devices. A single measurement may then be representative of the coating. The innovative portable reflected light sensor is adapted to measure substrates in the field, and is especially adapted for assessing coating quality during the coating process. The innovative sensor also comprises a signal processing circuit that performs analysis of the measurements and feeds back status of the coating to the operator for coating process control.
    Type: Grant
    Filed: May 15, 2016
    Date of Patent: February 25, 2020
    Assignee: Pellucere Technologies, Inc.
    Inventor: John Arthur De Vos
  • Patent number: 10564126
    Abstract: An inspection apparatus comprises a light output unit configured to output first light having a first wavelength and second light having a second wavelength, a magneto-optical crystal arranged so that a reflection film faces a measurement target, a light detection unit configured to detect the first light and the second light, and a light guide optical system configured to guide the first light and the second light toward the magneto-optical crystal and the measurement target, and guide the first light reflected by the magneto-optical crystal and the second light reflected by the measurement target toward the light detection unit. The light guide optical system comprises an optical path switching element configured to perform switching between optical paths of a plurality of optical elements so that the first light and the second light are selectively incident on the light detection unit.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: February 18, 2020
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventor: Tomonori Nakamura
  • Patent number: 10540759
    Abstract: Wafer edge profile images are analyzed at locations around a bonded wafer, which may have a top wafer and a carrier wafer. An offset curve is generated based on the wafer edge profile images. Displacement of the top wafer to the carrier wafer is determined based on the offset curve. The wafer edge profile images may be generated at multiple locations around the wafer. The wafer edge profile images may be shadowgram images. A system to determine displacement of the top wafer to the carrier wafer can include an imaging system connected with a controller.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: January 21, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Kaushik Sah, Thomas Krah, Shifang Li, Heiko Eisenbach, Moritz Stoerring
  • Patent number: 10534975
    Abstract: A multi-frequency high-precision object recognition method is disclosed, wherein a multi-frequency light emitting unit is used to emits lights of different frequencies onto an object-to-be-tested, and a multi-frequency image sensor unit is used to fetch the image of lights reflected from the object-to-be-tested. In an X axis and a Y axis is a single-piece planar image, while lights of different frequencies is used to form image depth in a Z axis. The sample light in the Z axis includes two infrared light narrow range image signals, each having wavelength between 850 nm and 1050 nm, and wavelength width between 10 nm and 60 nm. Calculate to obtain a plurality of single-piece planar images in the X axis and the Y axis as sampled by different wavelength widths in the Z axis, superimpose the plurality of single-piece planar images into a 3-dimension stereoscopic relief image for precise comparison and recognition.
    Type: Grant
    Filed: July 10, 2018
    Date of Patent: January 14, 2020
    Inventors: Kuan-Yu Lu, Wei-Hsin Huang, Wei-Hung Chang, Chun-Shing Chu
  • Patent number: 10523905
    Abstract: In one embodiment, a substrate imaging apparatus includes: a rotary holding unit that holds and rotates a substrate; a mirror member having a reflecting surface that opposes an end face of the substrate and a peripheral portion of a back surface of the substrate held by the rotary holding unit, the reflecting surface being inclined with respect to a rotation axis of the rotary holding unit; and a camera having an imaging device that receives both first light and second light through a lens, the first light coming from a peripheral portion of a front surface of the substrate held by the rotary holding unit, and the second light being a reflected light of second light which comes from the end face of the substrate held by the rotary holding unit and is reflected by the reflecting surface.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: December 31, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Norihisa Koga, Tadashi Nishiyama, Yasuaki Noda
  • Patent number: 10508994
    Abstract: An image inspection apparatus includes an illuminating section for irradiating illumination light, a line camera in which a plurality of imaging elements are arrayed to be linearly arranged, the line camera receiving the light irradiated from the illuminating section and reflected on the inspection target object, a display section for displaying an image captured by the line camera, an optical axis adjusting section for adjusting an optical axis of the line camera, a trigger setting section for specifying a trigger that specifies timing when the inspection target object is imaged by the line camera, an aspect ratio adjusting section for adjusting longitudinal and lateral pixel resolutions of the image captured by the line camera, and a display control section for displaying the optical axis adjusting section, the trigger setting section, and the aspect ratio adjusting section on the display section in order.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: December 17, 2019
    Assignee: Keyence Corporation
    Inventor: Daisuke Ando
  • Patent number: 10488700
    Abstract: An electrical polarization filter, an electronic apparatus including the electrical polarization filter, and a method of operating the electronic apparatus are provided. The electrical polarization filter includes a liquid crystal panel configured to control a polarization angle of incident light and a polarization unit configured to display a linear polarization characteristic. The liquid crystal panel includes first and second transparent plates, first and second electrodes provided between the first transparent plate and the second transparent plate, and a liquid crystal layer provided between the first electrode and the second electrode, wherein the incident light directly enters one of the first and second transparent plate provided on an outer side. The polarization unit may be a passive type polarization unit or an active type polarization unit.
    Type: Grant
    Filed: February 17, 2017
    Date of Patent: November 26, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seokyoon Jung, Byounglyong Choi, Dongkyun Kim, Sunghyun Nam, Younghun Sung, Sanghun Lee, Yangho Cho
  • Patent number: 10481103
    Abstract: An inspection device is adapted for inspecting whether or not there is a foreign matter or dirt adhered to a surface of a workpiece or whether or not there is a scratch on the surface of the workpiece. A first polarizing plate having a polarizing axis in a first direction is attached to an open window of the cover part, and a second polarizing plate having a polarizing axis in a second direction orthogonal to the first direction is attached to the open window so as to open and close. In a state where the second polarizing plate is closed, the second polarizing plate overlaps the first polarizing plate. In a state where the second polarizing plate is open, the first polarizing plate and the second polarizing plate are present on a straight line connecting the outside light source and the fuel cell.
    Type: Grant
    Filed: October 11, 2018
    Date of Patent: November 19, 2019
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Yoshihiro Yamagata
  • Patent number: 10473767
    Abstract: A Lidar system may comprise a rotor and a stator. The rotor is configured to rotate with respect to the stator. The rotor comprises at least one supporting body and a plurality of light sources disposed on the at least one supporting body, the plurality of light sources configured to emit a plurality of first light beams. The plurality of light beams are non-uniformly distributed along a vertical direction in a vertical field of view of the Lidar system.
    Type: Grant
    Filed: June 18, 2018
    Date of Patent: November 12, 2019
    Assignee: Hesai Photonics Technology Co., Ltd.
    Inventors: Shaoqing Xiang, Na Li, Yancong Lu
  • Patent number: 10464166
    Abstract: A system (1) for viewing an area (2) for processing materials using laser apparatuses, including acquisition apparatus (6) configured for acquiring one image at a time of the processing area (2) and for generating an acquisition signal (8) containing the data relating to each image acquired. The system (1) includes a screen (9) for viewing the images acquired connected to the acquisition apparatus (6) and configured to receive the acquisition signal (8) and to make visible to the users the images contained therein. More specifically, the system (1) is configured for displaying on the display screen (9) the last image acquired in a pause period (TP) from the laser emission and to keep displayed on the screen the last image acquired during the subsequent obscuring period (TSTOP).
    Type: Grant
    Filed: January 21, 2016
    Date of Patent: November 5, 2019
    Assignee: SISMA S.P.A.
    Inventors: Franco Beber, Federico Cumerlato