Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 6287025
    Abstract: A resist coating block is composed of a resist coating unit, a cooling unit group, and a first sub-conveying unit that conveys a wafer W between the resist coating unit and the cooling unit group. A developing block is composed of a developing unit, a cooling unit group, and a second sub-conveying unit that conveys a wafer W between the developing unit and the cooling unit group. Heat insulating panels are disposed to the blocks opposite to heating units. A first main-conveying unit and a second main-conveying unit are disposed between the blocks. The first main conveying unit is disposed between a first heat treatment block and a third heat treatment block. The second main conveying unit is disposed between a second heat treatment block and a fourth heat treatment block. Thus, variation of the film thickness of a resist film coated on a wafer W can be prevented against heat radiated by the heating units.
    Type: Grant
    Filed: August 13, 1999
    Date of Patent: September 11, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Matsuyama
  • Patent number: 6287067
    Abstract: A processing unit structure 1 a plurality of processing units 2, . . . , assembled together continuously in a horizontal direction, wherein a transfer portion 3 is provided on the top of each processing unit 2, . . . , for transferring the plate-like material to be processed between adjacent one of the processing units. A transfer device, such as a shuttle (SH) is provided in each of the transfer portions 3. Each processing unit 2 performs a series of processes on the plate-like material and is constructed with processing blocks 4 and 4 and a transfer portion 3 in the form of a transfer robot (R) positioned between the processing blocks 4 and 4. Further, each processing unit 2. . . is independent from one another so that it is possible to selectively add and remove different ones of the processing units with respect to the processing unit structure 1.
    Type: Grant
    Filed: October 11, 1997
    Date of Patent: September 11, 2001
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hidenori Miyamoto, Susumu Okano, Koji Ueda, Taiichiro Aoki
  • Patent number: 6287984
    Abstract: A loading area capable of forming a sealed space in co-operation with a reaction chamber is provided. In a state in which the inner space of the reaction chamber is separated from the inner space of the loading area by a shutter plate, the oxygen concentrations in the reaction chamber and the loading area are both adjusted to a specific concentration. After both the oxygen concentrations coincide with each other at the specific value, semiconductor wafers held on a wafer boat are inserted from the loading area into the reaction chamber by a boat lifter.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: September 11, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yasuhiko Horie
  • Patent number: 6283695
    Abstract: In a tray conveying apparatus and method for, for instance, semiconductor parts, a main station and substation which have a tray conveying function are driven slidably in a direction perpendicular to a tray conveying line by a station sliding mechanism. When the preparation of a satisfactory-parts tray is required, the sub-station is positioned on the conveying line, and a satisfactory-parts tray is prepared on this sub-station by means of the exchange of parts, etc. Afterward, both main station and sub-station are caused to slide so that the main station is positioned on the conveying line. In this state, the satisfactory-parts tray is positioned in the vicinity of the conveying line, and a tray on the main station is replenished with satisfactory parts from the satisfactory-parts tray. A table sliding mechanism is further provided that has an upper-stage table, which carries a defective-parts tray, and a lower table, which caries a reclaimable-parts tray.
    Type: Grant
    Filed: October 19, 1999
    Date of Patent: September 4, 2001
    Assignee: Kabushiki Kaisha Shinkawa
    Inventors: Takeyuki Nakagawa, Yasuo Chiyo
  • Patent number: 6285097
    Abstract: A magnet array having a plurality of alternating polarity (N and S) magnets as well as transverse magnets disposed in a plane is disclosed. The N and S magnets have opposing polarities and are arranged in a checkerboard pattern in the magnet array. The transverse magnets are disposed between immediate adjacent N and S magnets. The transverse magnets facilitate the forming of a continuous magnetic flux path through the transverse magnet and immediate adjacent N and S magnets. The magnet array of the present invention may be utilized in an electric motor or a positioning device comprising a coil array positioned adjacent to a magnet array where the coil array is operable to interact with the magnetic fields of the magnet array to provide a force therebetween. The magnet array may also be utilized in an exposure apparatus such as a photolithography system to position and support a wafer for photolithography processing in the manufacturing of semiconductor devices.
    Type: Grant
    Filed: May 11, 1999
    Date of Patent: September 4, 2001
    Assignee: Nikon Corporation
    Inventors: Andrew J. Hazelton, Jean-Marc Gery
  • Patent number: 6278203
    Abstract: Apparatus and associated method for cooling a linear motor coil includes a motor coil having side walls, and at least one enclosure member which encloses each linear side wall and extends generally co-extensively with a width and a length of the side walls and juxtaposed to the side walls. Coolant passages are formed between and around an exterior of the side walls and the interior walls of at least one enclosure member for enclosing a coolant fluid flowable against the side walls. An inlet plenum is in flow connection to the coolant passages for flowing the coolant fluid through the coolant passages to cool the side walls and an outlet plenum is in flow connection to the coolant passages for removal of coolant fluid heated by operation of the motor coil.
    Type: Grant
    Filed: November 22, 1999
    Date of Patent: August 21, 2001
    Assignee: Nikon Corporation
    Inventors: W. Thomas Novak, Andrew J. Hazelton, Ken G. Wasson
  • Patent number: 6275744
    Abstract: A substrate processing apparatus has a plurality of processing chamber groups including at least one processing chamber group of a plurality of processing chambers for identically processing substrates concurrently therein, and a substrate feeder for feeding substrates to each of the processing chamber groups. A value calculated by dividing times required to process substrates in the processing chambers and times required to feed substrates to the processing chambers, by the number of the processing chambers is set to a tact time, and substrates are loaded into the substrate processing apparatus at intervals of the tact time. A time equal to or greater than a time required for the substrate feeder to perform a feed job is established as a feed slot, and a plurality of feed slots are established in the tact time. Substrates are loaded into the substrate processing apparatus with the substrate feeder in timed relation to the feed slots.
    Type: Grant
    Filed: July 30, 1998
    Date of Patent: August 14, 2001
    Assignee: Kokusai Electric Co., Ltd.
    Inventor: Yasushi Yoshida
  • Patent number: 6271606
    Abstract: A motor combination (10) for enclosing and moving a stage (28) (30) for an exposure apparatus (32) is provided herein. The motor combination (10) includes a motor (12) and a chamber (14). The motor (12) has a first component (16) and a second component (18). One of the components (16) (18) includes a magnet array (36) having at least one magnet (42) and the other component (16) (18) includes a conductor array (38) having at least one conductor (44). The chamber (14) encircles and encloses one of the stages (28) (30) and the second component (18) of the motor (12). Importantly, the chamber (14) includes a wall (20) that is interposed between the first component (16) and the second component (18) and the motor (12) is magnetically coupled through the wall (20) of the chamber (14). With this design, the object (22) is enclosed by the chamber (14) and any reaction forces of the motor (12) can be easily transferred to a ground (24) or a reaction mass (26).
    Type: Grant
    Filed: December 23, 1999
    Date of Patent: August 7, 2001
    Assignee: Nikon Corporation
    Inventor: Andrew J. Hazelton
  • Patent number: 6270582
    Abstract: A vacuum processing system has a load lock chamber for transitioning wafers between an ambient environment pressure and a transfer chamber vacuum pressure. The load lock chamber has wafer supports for two wafers, but contains only one wafer during pressure transitioning. The load lock chamber further has a processing element, so that the load lock chamber performs a pre-processing or post-processing process on the wafer. The processing element may be a wafer heater, so that the load lock chamber may heat the wafer before or after the system performs a primary process on the wafer. The processing element may be a wafer cooler, so that the load lock chamber may cool down a wafer that has been heated before, during or after the primary process. The load lock chamber may have either a wafer heater or a wafer cooler or both.
    Type: Grant
    Filed: December 15, 1997
    Date of Patent: August 7, 2001
    Assignee: Applied Materials, Inc
    Inventors: Michael Rivkin, Ed Kaczorowski
  • Patent number: 6258699
    Abstract: A method of manufacturing a light emitting diode (LED) includes growing a light emitting region on a temporary substrate, bonding a transparent substrate of glass or quartz to the light emitting region and then removing the temporary substrate. A metal bonding agent also serving as an ohmic contact layer with LED is used to bond the transparent substrate to form a dual substrate LED element which is then heated in a wafer holding device that includes a graphite lower chamber and a graphite upper cover with a stainless steel screw. Because of the different thermal expansion coefficients between stainless and graphite, the stainless steel screw applies a pressure to the dual substrate LED element during the heating process to assist the bonding of the transparent substrate.
    Type: Grant
    Filed: May 10, 1999
    Date of Patent: July 10, 2001
    Assignee: Visual Photonics Epitaxy Co., Ltd.
    Inventors: Kuo-Hsiung Chang, Kun-Chuan Lin, Ray-Hua Horng, Man-Fang Huang, Dong-Sing Wuu, Sun-Chin Wei, Lung-Chien Chen
  • Patent number: 6254682
    Abstract: An apparatus and method for inverting a plurality of plate-like materials to provide for the treatment of plate-like material using techniques that must be applied to the surface from a direction other than from above the material, such as for beneath the material in meniscus coating techniques. The apparatus includes a base, a back attached to the base, a top that is removably attachable to the base for retaining a cassette between the top and the base and retractable material holders attached to the base for engaging and disengaging the plate-like material. In a preferred embodiment, the retractable material holders are in the form of support blocks having notches corresponding the edges of the plate-like material that are reciprocally moved by a side actuator to enable the blocks to engage and disengage the plate-like material.
    Type: Grant
    Filed: August 6, 1998
    Date of Patent: July 3, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Jeffrey K. Mendiola, Willard L. Hofer
  • Patent number: 6255796
    Abstract: An apparatus capable of high accuracy position and motion control utilizes one or more linear commutated motors to move a guideless stage in one long linear direction and small yaw rotation in a plane. A carrier/follower holding a single voice coil motor (VCM) is controlled to approximately follow the stage in the direction of the long linear motion. The VCM provides an electromagnetic force to move the stage for small displacements in the plane in a linear direction perpendicular to the direction of the long linear motion to ensure proper alignment. One element of the linear commutated motors is mounted on a freely suspended drive assembly frame which is moved by a reaction force to maintain the center of gravity of the apparatus. Where one linear motor is utilized, yaw correction can be achieved utilizing two VCMs.
    Type: Grant
    Filed: January 13, 2000
    Date of Patent: July 3, 2001
    Assignee: Nikon Corporation
    Inventors: Akimitsu Ebihara, Thomas Novak
  • Patent number: 6255795
    Abstract: An apparatus capable of high accuracy position and motion control utilizes one or more linear commutated motors to move a guideless stage in one long linear direction and small yaw rotation in a plane. A carrier/follower holding a single voice coil motor (VCM) is controlled to approximately follow the stage in the direction of the long linear motion. The VCM provides an electromagnetic force to move the stage for small displacements in the plane in a linear direction perpendicular to the direction of the long linear motion to ensure proper alignment. One element of the linear commutated motors is mounted on a freely suspended drive assembly frame which is moved by a reaction force to maintain the center of gravity of the apparatus. Where one linear motor is utilized, yaw correction can be achieved utilizing two VCMs.
    Type: Grant
    Filed: January 13, 2000
    Date of Patent: July 3, 2001
    Assignee: Nikon Corporation
    Inventors: Akimitsu Ebihara, Thomas Novak
  • Publication number: 20010005476
    Abstract: A processing unit for a substrate comprises a partition 6 provided between an atmospheric area S1 and an inert gas area S2. The partition 6 has an opening 22 to communicate the atmospheric area S1 and the inert gas area S2. A door 23 is provided at the opening 22 to open and close it. Carrier holding means 11 is provided for holding a carrier 2 of the substrate in such a manner that the carrier 2 comes in contact with the opening 22 on the side of the atmospheric area. Inert gas replacing means 56 is provided for replacing a gas in the carrier 2 with an inert gas by introducing the inert gas into the carrier 2 when the door 23 closes the opening 22 and the carrier 2 comes in contact with the opening 22 on the side of the atmospheric area by the carrier holding means 11. This processing unit can perform the process of the substrate without increasing the concentration of the oxygen in the inert gas area S2 by preventing leakage of the air from the atmospheric area S1 into the inert gas area S2.
    Type: Application
    Filed: February 23, 2001
    Publication date: June 28, 2001
    Inventors: Hisashi Kikuchi, Katsumi Ishii
  • Patent number: 6252370
    Abstract: An apparatus capable of high accuracy position and motion control utilizes one or more linear commutated motors to move a guideless stage in one long linear direction and small yaw rotation in a plane. A carrier/follower holding a single voice coil motor (VCM) is controlled to approximately follow the stage in the direction of the long linear motion. The VCM provides an electromagnetic force to move the stage for small displacements in the plane in a linear direction perpendicular to the direction of the long linear motion to ensure proper alignment. One element of the linear commutated motors is mounted on a freely suspended drive assembly frame which is moved by a reaction force to maintain the center of gravity of the apparatus. Where one linear motor is utilized, yaw correction can be achieved utilizing two VCMs.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: June 26, 2001
    Assignee: Nikon Corporation
    Inventors: Akimitsu Ebihara, Thomas Novak
  • Patent number: 6246204
    Abstract: An apparatus capable of high accuracy position and motion control utilizes one or more linear commutated motors to move a guideless stage in one long linear direction and small yaw rotation in a plane. A carrier/follower holding a single voice coil motor (VCM) is controlled to approximately follow the stage in the direction of the long linear motion. The VCM provides an electromagnetic force to move the stage for small displacements in the plane in a linear direction perpendicular to the direction of the long linear motion to ensure proper alignment. One element of the linear commutated motors is mounted on a freely suspended drive assembly frame which is moved by a reaction force to maintain the center of gravity of the apparatus. Where one linear motor is utilized, yaw correction can be achieved utilizing two VCMs.
    Type: Grant
    Filed: March 2, 1999
    Date of Patent: June 12, 2001
    Assignee: Nikon Corporation
    Inventors: Akimitsu Ebihara, Thomas Novak
  • Patent number: 6242718
    Abstract: A Bernoulli wand type semiconductor wafer pickup device that is adapted to regulate the temperature of a wafer while the wafer is being repositioned within a semiconductor processing system. In one embodiment, the device is comprised of a resistive heating element that is adapted to raise the temperature of the pickup device. In particular, by raising the temperature of the pickup device, a portion of the thermal radiation emitted from the device is absorbed by the wafer, thus providing a means for regulating the wafer temperature. In another embodiment, the device is adapted with the characteristics of a black body absorber so as to enable the device to optimally absorb thermal radiation from external radiant sources, thereby providing a means for increasing the temperature of the device. In another embodiment, the device is coated with reflective material that enables a large portion of thermal radiation emitted from the wafer to be reflected and absorbed back into the wafer.
    Type: Grant
    Filed: November 4, 1999
    Date of Patent: June 5, 2001
    Assignee: ASM America, Inc.
    Inventors: Armand Ferro, Ivo Raaijmakers, Ravinder Aggarwal, Ronald R. Stevens
  • Patent number: 6241427
    Abstract: A object processing system is disclosed. The object processing system includes processing modules for performing process steps on objects, and hydrodynamic transport chutes coupled between the processing modules for transporting the object in a substantially touchless manner between the processing modules. Each substantially touchless hydrodynamic transport chute includes a transfer slot formed between two walls. Formed in the walls and directed into the transfer slot are support nozzles angled towards the output side of the transfer slot for creating fluid flow and fluid bearings in the transfer slot and urging objects through the transfer slot. In addition, induction nozzles are formed in the walls near the input side of the transfer slot for inducing objects into the input side of the transfer slot. A base supports the first and second walls and is grooved in substantial alignment with the transfer slot for receiving and bottom-centering objects in the transfer slot.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: June 5, 2001
    Assignee: Seagate Technology, Inc.
    Inventors: Merilly Ann Hessburg, Timothy John Lindsley, David Craig Darrow, John Edgar Sheffield
  • Patent number: 6239859
    Abstract: A photolithographic apparatus for rapidly processing semiconductor wafers. In the photolithographic apparatus, a plurality of steppers are in parallel connected to one coater/developer. The steppers are in parallel connected to one coater/developers, where the steppers process the semiconductor wafer at a low speed and the coater/developers process the semiconductor wafer at a high speed, to thereby remove a bottleneck phenomenon. Therefore, the photolithographic apparatus according to the present invention may rapidly perform a photolithography process.
    Type: Grant
    Filed: August 19, 1998
    Date of Patent: May 29, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-sin Park
  • Patent number: 6238160
    Abstract: An electrically conductive workpiece such as a semiconductor wafer or the like is transported between a staging area and an electrostatic chuck within a processing chamber using an electrostatic arm. The arm is used to apply an electrical charge to the wafer and to hold the wafer during transport by means of an electrostatic force of attraction between the arm and the wafer. The arm also pre-charges the wafer in preparation to be electrostatically chucked within the processing chamber. Pre-charging the wafer eliminates the need for using a gas plasma within the chamber for chucking and dechucking the wafer.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: May 29, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd'
    Inventors: Yuan-Ko Hwang, Tsung-Chi Hsieh
  • Patent number: 6236327
    Abstract: A wafer-fetching sensing device for wafer storage apparatus uses a planar detection means to replace a conventional linear detection means to detect if a wafer is properly positioned at a desirable access level before being moved out of an access opening of the wafer storage apparatus by a robot. Wafer tilting and damage incident that might otherwise happen thus may be avoided. Only a correctly positioned wafer will be fetched. A warning signal will be generated when the wafer is not properly positioned so that preventive action may be taken to avoid wafer damage. Production yield thus may be increased.
    Type: Grant
    Filed: February 18, 1999
    Date of Patent: May 22, 2001
    Assignee: Mosel Vitelic Inc.
    Inventors: Tai-Yu Yen, Wen-Wang Tsai
  • Patent number: 6231290
    Abstract: A processing unit for a substrate comprises a partition 6 provided between an atmospheric area S1 and an inert gas area S2. The partition 6 has an opening 22 to communicate the atmospheric area S1 and the inert gas area S2. A door 23 is provided at the opening 22 to open and close it. Carrier holding device 11 is provided for holding a carrier 2 of the substrate in such a manner that the carrier 2 comes in contact with the opening 22 on the side of the atmospheric area. Inert gas replacing device 56 is provided for replacing a gas in the carrier 2 with an inert gas by introducing the inert gas into the carrier 2 when the door 23 closes the opening 22 and the carrier 2 comes in contact with the opening 22 on the side of the atmospheric area by the carrier holding device 11. This processing unit can perform the process of the substrate without increasing the concentration of the oxygen in the inert gas area S2 by preventing leakage of the air from the atmospheric area S1 into the inert gas area S2.
    Type: Grant
    Filed: March 18, 1999
    Date of Patent: May 15, 2001
    Assignee: Tokyo Electron
    Inventors: Hisashi Kikuchi, Katsumi Ishii
  • Patent number: 6231716
    Abstract: An apparatus for processing substrates includes a chamber, a substrate transfer element for transferring a substrate to and from the chamber, and a substrate support for receiving and holding a substrate within the chamber. The apparatus also includes multiple pins positioned and configured to be received by respective holes in the chamber bottom and moveable between a retracted position and an extended position. A pin actuation system is provided for moving the pins between the retracted position and the extended position. The pin actuation system controls the velocity at which the pins move and varies the speed of the pins by accelerating or decelerating at particular points during the pin cycle. A reduction in the cycle time is facilitated by accelerating the lift pins to relatively high speeds and then slowing the pins down prior to their arrival at locations where the substrate or wafer may be damaged.
    Type: Grant
    Filed: November 9, 1998
    Date of Patent: May 15, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Anthony White, Eugene Smargiassi
  • Patent number: 6227786
    Abstract: A substrate treating apparatus for subjecting a substrate to a treatment including a heating or cooling treatment has a plate for heating or cooling the substrate. The plate has a plurality of holes formed therein and is arranged to be positioned under the substrate. A plurality of lifter pins for moving the substrate up and down are inserted in the holes, respectively, formed in the plate, and are incorporated with the plate to be movable up and down. A plurality of springs are arranged to bias the lifter pins downward, respectively. A push-up mechanism is used for pushing up the lifter pins while being in contact with bottom ends of the lifter pins. The push-up mechanism is arranged to be separable from the lifter pins. A driving mechanism is arranged to drive the push-up mechanism.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: May 8, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Kiyohisa Tateyama
  • Patent number: 6224274
    Abstract: A first transfer device and a second transfer device, each for transferring a wafer, are arranged opposite each other, and a cooling processing unit group is arranged between the first transfer device and the second transfer device. A first thermal processing unit group and a second thermal processing unit group are arranged on both sides of the first transfer device, and a first solution processing unit group and a second solution processing unit are arranged on both sides of the second transfer device. The first transfer device transfers the wafer between the cooling processing unit group, and units included in the first thermal processing unit group and the second thermal processing unit group, and the second transfer device transfers the wafer between the cooling processing unit group, and units included in the first solution processing unit group and the second solution processing unit group.
    Type: Grant
    Filed: August 11, 1999
    Date of Patent: May 1, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Matsuyama
  • Patent number: 6224679
    Abstract: A wafer processing system comprises a container-housing chamber for housing the conveying container conveyed from the common area, a cleaning chamber disposed adjacent to the container-housing chamber, and a load-lock chamber disposed adjacent to the cleaning chamber. The cleaning chamber has an inlet line for introducing a clean gas into the cleaning chamber and a pressure control means for controlling the pressure in the cleaning chamber. The load-lock chamber has a conveying unit capable of extending to the container-housing chamber through the cleaning chamber, in order to take out the object from the conveying container housed in the container-housing chamber to the load-lock chamber through the cleaning chamber.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: May 1, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiaki Sasaki, Teruo Asakawa
  • Patent number: 6223096
    Abstract: An elevator system automatically adjusts the inclination of a wafer boat during the manufacturing of semiconductor devices so that the wafers in the boat are maintained horizontal during their processing. The elevator system includes the wafer boat, a base on which the boat is supported, an elevator for loading the boat into a processing chamber and removing it from the chamber, a sensing unit for detecting the inclination of the boat relative to the horizontal, a horizontal control unit which is interposed between the base and the elevator and is drivable to maintain the boat in such a position that the wafers in the boat lie in horizontal planes, and a control unit for receiving information from the sensing unit and, based on the information, outputting a control signal to the horizontal control unit.
    Type: Grant
    Filed: September 1, 1998
    Date of Patent: April 24, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-huem Nam, Hyun Han, Sun-woo Kawk
  • Patent number: 6221163
    Abstract: The system and method for molecular contamination control permits purging a SMIF pod to desired levels of relative humidity, oxygen, or particulates. The SMIF pod includes an inlet port including a check valve and filter assembly for supplying a clean, dry gaseous working fluid to maintain low levels of moisture, oxygen, and particulate content around materials contained in the SMIF pod. The SMIF pod outlet port, which also includes a check valve and filter assembly, is connected with an evacuation system. Flow of purge gas inside the SMIF pod can be directed with one or more nozzle towers to encourage laminar flow inside the pod, and one or more outlet towers,, having a function similar to that of the inlet tower, may also be provided. The purge gas can be dried by exposure to a desiccant, heated to temperatures between about 100° C. and about 120° C., and can be tested for baseline constituent levels prior to or after introduction into a SMIF pod.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: April 24, 2001
    Assignee: Semifab Incorporated
    Inventors: Glenn A. Roberson, Jr., Robert M. Genco, Robert B. Eglinton, Wayland Comer, Gregory K. Mundt
  • Patent number: 6217272
    Abstract: An apparatus for simultaneously transporting and processing substrates is described. The apparatus includes a load lock that stores at least one substrate prior to processing and that stores at least one substrate after processing. A first transport mechanism transports at least one substrate into and out of the load lock. A multi-stage elevator is adapted to receive the first transport mechanism. A first process chamber is vertically disposed from the multi-stage elevator. The multi-stage elevator vertically transports at least one substrate into and out of the first process chamber. A second process chamber may be coupled to the multi-stage elevator. A second transport mechanism transports at least one substrate between the multi-stage elevator and the second process chamber.
    Type: Grant
    Filed: September 23, 1999
    Date of Patent: April 17, 2001
    Assignee: Applied Science and Technology, Inc.
    Inventors: David Felsenthal, Chunghsin Lee, Piero Sferlazzo
  • Patent number: 6216058
    Abstract: A system for providing the reliable and numerically efficient generation of time-optimum trajectories with easy-to-track or continuous acceleration profiles for simple and blended moves of single- and multi-arm robotic manipulators, such as an extension and retraction move along a straight line or a rotary move following a circular arc, with velocity, acceleration, jerk, and jerk rate constraints. A time-optimum trajectory is the set of the position, velocity, and acceleration profiles which describe the move of a selected end effector along a given path in the shortest time possible without violating given constraints, with a special case being an optimum abort trajectory, which brings the moving arm into complete rest in the shortest time. The invention involves firstly identifying the set of fundamental trajectory shapes which cover all possible combinations of constraints for a given category of moves, e.g.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: April 10, 2001
    Assignee: Brooks Automation, Inc.
    Inventors: Martin Hosek, Hakan Elmali
  • Patent number: 6206974
    Abstract: An interface apparatus places, in a boat, plural wafers transported thereto one by one from an application apparatus for performing single wafer processing, then transports the boat having the wafers placed therein to a heating apparatus for subjecting plural wafers to a heat treatment process, and after completion of the heat treatment process, receives the boat. The interface apparatus includes a stage, a rotary table rotatably arranged on the stage for placing the boat thereon, and a positioning mechanism for turning the rotary table in a direction of displacement of the boat to permit the boat to be placed on the rotary table when the boat is being placed on the rotary table with the position thereof displaced from a reference position in a rotatable direction of the rotary table, and after completion of the placement, turning the rotary table in a direction opposite to the displacement direction to thereby position the boat at the reference position.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: March 27, 2001
    Assignee: Tokyo Electron Ltd.
    Inventors: Naruaki Iida, Yukio Shigaki
  • Patent number: 6208045
    Abstract: A two-dimensional electric motor having a moving magnet and positionable in six degrees of freedom. The electric motor has a coil array and a magnet array. The magnet array has a smaller width than the coil array in the moving magnet embodiment. The invention's process of achieving motion of a coil array with respect to a magnet array in six degrees of freedom includes providing an electrical current distribution to a coils. The motion is controlled in a first direction and a vertical direction between a portion of the coils and a portion of the magnet array. The electrical current distribution has two wavelike components having a same period but approximately ninety degrees out of phase. The invention's positioning devices do not require air bearings. Instead, the suspension of the support member by magnetic attraction to the frame or by interaction of the coil array and the magnet array replace the air bearings.
    Type: Grant
    Filed: November 16, 1998
    Date of Patent: March 27, 2001
    Assignee: Nikon Corporation
    Inventors: Andrew J. Hazelton, Michael B. Binnard, Jean-Marc Gery
  • Patent number: 6205368
    Abstract: A method of controlling a transport system for transporting a work from one station to another, which system includes a plurality of stations arranged in a circular row, a transport robot assembly including two manipulator arms, a main controller for controlling the transport system in its entirety relative to the transport robot assembly, and a robot controller for controlling sequential operation of the transport robot assembly.
    Type: Grant
    Filed: March 30, 1998
    Date of Patent: March 20, 2001
    Assignee: Daihen Corporation
    Inventors: Yorio Hirahara, Haruo Maetani
  • Patent number: 6199291
    Abstract: An alignment fixture that may be used to align the components of a wafer etching machine. The fixture includes a base supporting at least one first alignment guide and a plurality of second alignment guides. The components to be aligned using the fixture may include inner and outer concentric rings. The outer ring may include one or more first position locator guides that are matched with the first alignment guide to establish a known positional relationship with the base. The inner ring may include one or more second position locator guides that are matched simultaneously with one or more first position locator guides and the second alignment guides to establish a known positional relationship between the outer ring and the inner ring. The outer and inner ring may be secured together in the established positional relationship using a conventional fastening means known in the art.
    Type: Grant
    Filed: July 29, 1998
    Date of Patent: March 13, 2001
    Assignees: Sony Corporation, Sony Electronics, Inc.
    Inventor: Jeffery E. Ozee
  • Patent number: 6198074
    Abstract: A system and method for thermally processing a substrate. A substrate is heated to a processing temperature at which the substrate is susceptible to plastic deformation or slip. An insulating cover may be removed to initially cool the substrate below such temperature before removal from the system. Gas pressure may also be adjusted to enhance heat transfer during processing and decrease heat transfer prior to removal of the substrate. Susceptors or surfaces for cooling the substrate may also be included in the system. The substrate may be transferred from a heating surface to a cooling surface by moving or rotating the substrate through warm transitional regions to avoid slip.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: March 6, 2001
    Assignee: Mattson Technology, Inc.
    Inventor: Stephen E. Savas
  • Patent number: 6190113
    Abstract: A wafer support device is provided. The wafer support device includes a susceptor having a surface configured to support a bottom surface of a wafer. The susceptor has a plurality of guiding recesses. The wafer support device also includes a pin lift that has a plurality of pins extending therefrom. The plurality of pins is configured to be passed via the plurality of guiding recesses of the susceptor to engage the bottom surface of the wafer. The susceptor is configured to be moved relative to the plurality of pins in a direction substantially orthogonal to the surface of the susceptor.
    Type: Grant
    Filed: April 30, 1997
    Date of Patent: February 20, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Binh Bui, Roger N. Anderson
  • Patent number: 6189591
    Abstract: A wafer sheet expanding apparatus comprises an expanding mechanism, a rotating mechanism for the expanding mechanism, a motor, a power transfer mechanism. The expanding mechanism has a support ring, an expanding ring, and a movement mechanism. The support ring has a hole at a central part thereof and a circular annulus portion formed projectively on an outer-peripheral portion of the hole and an upper end for supporting a wafer sheet retained by a wafer ring. The expanding ring is disposed opposite the support ring and has an annular portion that is loosely fitted over the circular annulus portion. The movement mechanism causes relative movement of the support ring and the expanding ring. The expanding mechanism stretches the wafer sheet by relative movement of the support ring and the expanding ring. The power transfer mechanism connects the movement mechanism and the rotating mechanism to a motor selectively.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: February 20, 2001
    Assignee: Shibaura Mechatronics Corporation
    Inventors: Makoto Ariye, Yasushi Takeda
  • Patent number: 6187060
    Abstract: A processing apparatus of the invention includes a rotary processing part for rotating and drying plural sheets of objects to be processed collectively in order to allow the object to be rotated immediately after they are accommodated in the rotary processing part and a balance adjusting mechanism for adjusting balance of the rotary processing part by moving counterweights in accordance with the number of the objects. Further the processing apparatus further includes a counter for counting the number of the objects accommodated in the rotary processing part and a control unit for controlling the balance adjusting operation of the balance adjusting mechanism in accordance with a predetermined relationship between the number of objects and the respective positions of the counterweights.
    Type: Grant
    Filed: September 17, 1998
    Date of Patent: February 13, 2001
    Assignees: Tokyo Electron Limited, Ohmiya Ind. Co., Ltd.
    Inventors: Tatsuya Nishida, Kenji Miyachi, Syujiro Oka
  • Patent number: 6185830
    Abstract: A fixture and method for providing accurate and repeatable alignment and attachment of a semiconductor wafer to a corner cube exposure fixture includes a vacuum-assisted wafer holder of rectangular shape. The wafer holder is first placed upon an L-shaped loading fixture so that a wafer may be positioned against the front surface of the holder and manipulated until the pair of wafer flats abut each leg of the L-shaped fixture. When so aligned, a vacuum is applied to secure the attachment and alignment of the wafer vis-à-vis the wafer holder. The wafer holder is thereafter inserted in a corner cube exposure fixture, where the fixture is formed to include a right-angle bracket with alignment features formed in one leg of the angle. The wafer holder also includes alignment features in its bottom surface, where these features are formed to mate with the bracket upon attachment.
    Type: Grant
    Filed: March 25, 1999
    Date of Patent: February 13, 2001
    Assignee: Lucent Technologies, Inc.
    Inventor: Frank Stephen Walters
  • Patent number: 6188150
    Abstract: An improved light weight, stiff stage platen for photolithography is provided. The high stiffness of the stage platen is exemplified by a relatively high first resonant vibrational mode as determined, for instance, by finite element modal analysis. The stage platen can be employed to support a chuck that is designed to secure a mask or wafer. The stage platen includes a frame that has interior walls that define an interior region and that has exterior walls wherein the outer surfaces of at least two adjacent walls are reflective mirror surfaces; and a matrix of ribs within the interior region that is connected to the interior walls wherein the stage platen exhibits a first vibrational mode at a frequency of greater than about 1000 Hz.
    Type: Grant
    Filed: June 16, 1999
    Date of Patent: February 13, 2001
    Assignee: EUV, LLC
    Inventor: Paul A. Spence
  • Patent number: 6187103
    Abstract: An apparatus and a method for transporting wafers into a process chamber are disclosed. The wafer-transporting blade for transporting wafers consists of a generally elongated blade member that has a top surface for engaging and holding a wafer positioned thereon, wherein at least a portion of the top surface is provided with a surface roughness sufficiently great to frictionally engaging a wafer positioned thereon without slippage. The surface roughness may be provided by either a mechanical or a chemical method.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: February 13, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: C. Y. Huang, T. H. Ding
  • Patent number: 6188147
    Abstract: Wedge magnet arrangements for use in a magnet array. A magnet arrangement has each wedge magnet with a magnetic flux polarized at the same angle with respect to a reference direction. The magnets are juxtaposed each other in a reference plane such that their respective magnetic fluxes combine to form a net magnetic flux along a reference direction perpendicular to the reference plane and a negligible magnetic flux in the reference plane. Transverse magnets along a plane complete flux paths between magnet arrangements and wedge magnets in the magnet array to eliminate the need for a heavy magnetically permeable backing for the magnet array. The magnet arrays have a high flux-to-mass ratio. This greater ratio improves the efficiency of two-dimensional electric motors which incorporate the magnet arrays as the moving part of the motors.
    Type: Grant
    Filed: October 2, 1998
    Date of Patent: February 13, 2001
    Assignee: Nikon Corporation
    Inventors: Andrew J. Hazelton, Jean-Marc Gery
  • Patent number: 6186722
    Abstract: An apparatus suitable for producing semiconductors. The apparatus includes a processing chamber, a first preparatory chamber, and a second preparatory chamber. Workpieces are transferred to the processing chamber for processing in a vacuum. The first and second preparatory chambers are used for transferring the workpiece between the processing chamber and an exterior exposed to atmospheric pressure without exposing the processing chamber to the atmospheric pressure. The first and second preparatory chambers are aligned vertically, which reduces the floor space occupied by the apparatus.
    Type: Grant
    Filed: February 24, 1998
    Date of Patent: February 13, 2001
    Assignee: Fujitsu Limited
    Inventor: Hidenobu Shirai
  • Patent number: 6182828
    Abstract: A reel tape includes a base tape for reel winding, a chip mounting section on the base tape, on which a bare chip is to be mounted and an adhesive layer coated on the chip mounting section. The bare chip is provisionally bonded to the chip mounting section with the adhesive layer interposed therebetween such that the bare chip is detachable. Therefore, the bare chip can be detached from the tape for chip testing, for example, and mounted on the tape again after the testing. The reel tape further includes a protector, e.g. a bar, provided on the base tape and along the chip mounting section in a tape conveying direction. The protector prevents the bare chip from contacting an overlaying portion of the base tape when the base tape is wound on a reel.
    Type: Grant
    Filed: April 30, 1999
    Date of Patent: February 6, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoichi Hiruta
  • Patent number: 6178361
    Abstract: The object of the invention is to provide an automatic module and cassette station detecting and aligning semiconductor wafer/substrate material handling unit with a thermal processing chamber module having an integrated, sturdy, chemical and temperature resistant wafer lift pins and door actuation apparatus. One of the benefits that is derived from this apparatus is the ability to quickly and easily attach various processing modules at any docking position of the semiconductor wafer/substrate material handling unit (hereinafter MHU). The MHU of this apparatus has the additional benefit of a cassette station that automatically aligns and detects a plurality of different size and shape semiconductor wafer/substrate cassettes. The semiconductor wafer/substrate cassettes may also be functionally attached to a module that is docked to the MHU for use.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: January 23, 2001
    Assignee: Karl Suss America, Inc.
    Inventors: Gregory George, Tim Peery, Timothy Consentino, Michael Kuhnle, Seth Wright, James Ziegler
  • Patent number: 6175169
    Abstract: The invention discloses a closed loop planar linear motor which includes a stationary stator (or platen) of arbitrary extent, and a moving forcer which is a single rigid body that can move over the planar stator surface on an air bearing with high speed and high precision in two orthogonal translational directions and a small rotation (or combinations thereof). An important distinguishing feature is a monolithic position and orientation sensor based on alternating current (AC) magnetic techniques which is an integral part of the forcer and occupies otherwise unused space in the forcer body. Also incorporated within the forcer is a special electronic processing element which converts weak AC signals from the sensor into usable high precision position and orientation information relative to the stator surface.
    Type: Grant
    Filed: May 3, 1999
    Date of Patent: January 16, 2001
    Inventors: Ralph L. Hollis, Jr., Zachary J. Butler, Alfred A. Rizzi, Arthur E. Quard, III
  • Patent number: 6174375
    Abstract: In a semiconductor device manufacturing system including a plurality of semiconductor device manufacturing equipment, a control circuit is connected to the semiconductor device manufacturing equipment. Also, a lot status memory for storing status of lots of semiconductor wafers, a branch content memory for storing branch information of the lots of semiconductor wafers, and a determination level memory for storing determination levels of the lots of semiconductor wafers are connected to the control circuit.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: January 16, 2001
    Assignee: NEC Corporation
    Inventors: Toshihiro Sada, Hideo Toyota
  • Patent number: 6171400
    Abstract: An apparatus for holding a plurality of semiconductor wafers during heat treatment of the wafers in a furnace comprises a plurality of rails extending essentially vertically between a top and bottom plate. Each rail contains a plurality of teeth arranged such that the space between adjacent teeth can receive a portion of a single semiconductor wafer. Each tooth contains a raised support structure, typically a ledge, located on the top surface of each tooth for supporting the wafer, usually from the edge of the wafer inward to a point located from the center of the wafer a distance equal to between about 25% and about 75% of the wafer's radius. Such an apparatus with its relatively long teeth is especially designed to uniformly support larger wafers, i.e., wafers having a nominal diameter greater than about 200 millimeters, such that their own weight does not cause the wafers to sag and thereby produce crystal dislocations or slip when the wafers are heated to high temperatures.
    Type: Grant
    Filed: October 2, 1998
    Date of Patent: January 9, 2001
    Assignee: Union Oil Company of California
    Inventor: Larry S. Wingo
  • Patent number: 6168667
    Abstract: A resist-processing apparatus comprising a plurality of first processing units, a second processing unit, a first transport unit, a second transport unit, and an interface section. The first processing units are designed to process a wafer, and the second processing unit to process the wafer. The first transport unit has a first arm mechanism for loading and unloading the wafer into and from each of the first processing units. The second transport unit opposes the first transport unit, with the first processing units located between the first transport unit and the second transport unit. The second transport unit has a second arm mechanism for loading and unloading the wafer into and from at least one of the first processing units and into and from the second processing unit. The interface section is to be provided adjacent to an exposure apparatus. The first arm mechanism transfers the wafer between the first transport unit and the exposure apparatus.
    Type: Grant
    Filed: May 27, 1998
    Date of Patent: January 2, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Kazutoshi Yoshioka
  • Patent number: 6168427
    Abstract: A processing chamber tube used in a semiconductor furnace is guided during its removal from the furnace by a plurality of circumferentially spaced guides. The guides are mounted for adjustable radial movement on a ring-shaped plate. The plate is secured on the base of the furnace. The guides are preferably in the form of rollers which engage and guide the tube during its removal from the furnace.
    Type: Grant
    Filed: October 5, 1999
    Date of Patent: January 2, 2001
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Guey-Shyung Cho, Yi-Jen Chen