Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 6688189
    Abstract: Provided is a robot comprising a telescopic-drive mechanism which does not contaminate works in a purified environment such as a clean room, is easy to handle, and requires no cover for covering the telescopic-drive mechanism. A robot comprises: an up-down axis in which a plurality of hollow axis sectional elements telescopically continue; and a telescopic-drive mechanism for driving the up-down axis to be vertically extended or retracted between an extended state in which a tip end of the up-down axis extends with respect to a base end thereof and a retracted state in which the tip end is moved close to the base end, wherein the telescopic-drive mechanism is integrated on one side of the up-down axis without being exposed from the up-down axis.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: February 10, 2004
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventors: Yasuhiko Hashimoto, Masami Ohtani, Joichi Nishimura
  • Patent number: 6684123
    Abstract: A factory interface for a multiple chamber semiconductor wafer processing cluster tool having a K-wafer load-lock (KWLL). The KWLL comprises a variable number of K+1 wafer slots assigned as inbound and outbound slots. Inbound slots are used to send up to K+1 wafers into the cluster tool and the same slots, denoted as outbound slots, are used for receiving up to K+1 wafers from the cluster tool. The K+1 slots are in the same volume that has to be pumped for wafers to enter the tool and vented for wafers that to leave the tool. These K+1 slots accommodate up to K wafers when accessed by a single blade robots from the tool or the factory interface, and up to K+1 wafers when the tool and factory interface are equipped with dual blade robots. Various KWLL loading methods can be selected to optimize the throughput of a wafer processing system using the KWLL. Such methods include wafer packing, reactive and gamma tolerant methods.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: January 27, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Dusan Jevtic, Raja Sunkara
  • Patent number: 6682414
    Abstract: A clean room of the down-flow type has, arranged therein an article processing apparatus A for performing a predetermined processing on articles, and an article storage apparatus B having a plurality of article storage units 5. An overlapping apparatus portion is disposed above article receiving portions 15 of the article processing apparatus A in a vertically overlapping relationship. An air guide passage 16 is formed for guiding clean air blown downward from a ceiling area of the clean room, from a rear air passage L to positions under the article storage unit 5 in the overlapping apparatus portion. An air outlet 17 is provided for blowing the air guided by the air guide passage 16 below the article storage units 5, downward toward the article processing apparatus A.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: January 27, 2004
    Assignee: Daifuku Co., Ltd.
    Inventor: Tatsuo Nakao
  • Patent number: 6682629
    Abstract: The present invention is a processing unit for processing a substrate in a casing, having: a transfer port provided in the casing through which the substrate passes when the substrate is carried into the casing by a carrier for carrying the substrate; and an inflow restricting device for controlling an atmosphere outside the casing to restrict the atmosphere from flowing into the casing through the transfer port. According to the present invention, it is possible to control the atmosphere outside the casing to restrict the atmosphere from flowing into the casing, which restricts the temperature of the substrate in the processing unit from partially varying and the temperature distribution from becoming ununiform within a plane of the substrate.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: January 27, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Hiroyuki Kudo, Takahiro Okubo, Minoru Kubota
  • Patent number: 6680775
    Abstract: The mask guiding device of the present invention has a mask guiding device that guides a substrate received from the outside. The mask guiding device is provided with a plurality of receiving portions that receives said mask from the outside.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 20, 2004
    Assignee: Nikon Corporation
    Inventor: Shinichi Hirakawa
  • Patent number: 6676365
    Abstract: An air track includes a concave top surface with a plurality of air outlets or a flat surface with a notch and a plurality of air outlets. The body of the air track includes at least one air filter that runs along the length of at least a portion of the body. The air filter divides the body into a first plenum and a second plenum. The air track also includes a hood covering at least a portion of the top surface. The air track further includes a stopper assembly that arrests the motion of a disk. The stopper assembly includes a disk detector that senses the presence of the disk on the air track.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: January 13, 2004
    Assignee: Toda Kogyo Corporation
    Inventors: Johann F. Adam, Evan F. Cromwell, Eric D. Moen
  • Publication number: 20040002299
    Abstract: The present invention provides a ventilation system and method that operates to remove outgassing of chemicals formed on a wafer during a wafer fabrication process to prevent contamination in a sealed wafer handling chamber. More particularly, the present invention discloses a ventilation system having a hood body having a gas supply conduit attached to a sealed outer side chamber of the ventilation hood such that contaminating particles in an interior of the hood are carried out by a purge gas flown into the hood interior through an inlet of the hood connected to the gas supply conduit into a facility vacuum exhaust system attached to an outlet of the hood. Preferably, the chamber is a load-lock chamber that operates to perform load lock processing on wafers and further operates to load and unload wafers to another location for further processing after using the ventilation system.
    Type: Application
    Filed: June 27, 2002
    Publication date: January 1, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mu-Tsang Lin, Yu-Chih Liou, Tu-Yi Chiu, Ji-Liang Wu, Wie-Liang Tsai
  • Patent number: 6667250
    Abstract: To provide a film substrate treatment apparatus that appropriately mounts film substrates on an electrostatic adsorption stage. In the film substrate treatment apparatus, adsorption pads are disposed on the first adsorption units that mount film substrates on an electrostatic stage, and a pressing member that presses the edge portion areas of the film substrates against the stage is provided. The film substrates can thereby be reliably attached to the stage, and the film substrates can be appropriately treated in a decompressed atmosphere.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: December 23, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tatsuo Sasaoka, Naoki Suzuki, Takahiro Yonezawa, Satoshi Horie
  • Publication number: 20030231950
    Abstract: A method and apparatus is provided for determining substrate drift from its nominal or intended position. The apparatus includes at least two fixed reference points. The reference points can be fixed with respect to the processing tool, or with respect to the end effector. As a robotic arm moves the end effector and substrate along a path, a camera captures images of the edge of the substrate and the reference points. Two or more cameras can also be provided. A computer can then calculate positional drift of the substrate, relative to its expected or centered position on the end effector, based upon these readings, and this drift can be corrected in subsequent robotic arm movement.
    Type: Application
    Filed: June 12, 2002
    Publication date: December 18, 2003
    Inventor: Ivo Raaijmakers
  • Patent number: 6663340
    Abstract: A processing tool bay within a semiconductor fabrication site, including a plurality of semiconductor processing tools for processing wafers being arranged in two opposite rows. An intrabay transport system for transporting wafer carriers around the process tool bay at least in a vertical plane in front of one of said two rows of semiconductor process tools comprises at least one vehicle for receiving and delivering a wafer carrier to and from any one semiconductor process tool of said plurality of semiconductor process tools, and a vehicle guiding mechanism. The vehicle comprises a circular compartment structure including a plurality of compartments for buffering said wafer carrier between receiving it at a first location and delivering it at a second location. Each compartment is arranged for accommodating one wafer carrier. The compartment structure is rotatable around a symmetry axis of itself for an alignment.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: December 16, 2003
    Assignee: Motorola, Inc.
    Inventors: Jason S. Zeakes, Clinton Haris, Karl E. Mautz, William Mark Hiatt
  • Patent number: 6662076
    Abstract: Management of move requests from a factory system to an automated handling system (AMHS) is provided. In one embodiment, a method and system is provided which includes receiving a move request from the factory system and selectively passing the move request to the AMHS based on a comparison of the move request with one or more conditions of the AMHS. The move request may be selectively passed to the AMHS by, for example, passing the move request to the AMHS without modification, changing a destination tool identified in the move request and/or delaying the move request, or canceling the move request. By selectively passing the move request based on conditions of the AMHS, move requests can more efficiently be managed and the throughput of the automated material handling system can be increased.
    Type: Grant
    Filed: February 10, 1999
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Russel Shirley, Jason Grover
  • Publication number: 20030224625
    Abstract: In a substrate processing apparatus that supports substrates W by a plurality of holding members of a rotor to process the substrates W rotated by the rotor, any one of the holding members is provided with press devices 130 to apply pressures on the peripheries of the substrates W. Each press device 130 has an abutting part 160 for contact with the periphery of the substrate W, a cylinder mechanism 161 for moving the abutting part 160 between a position in contact with the periphery of the substrate W and another position apart from the periphery of the substrate W and a deformable part 162 elastically deformed by the movement of the abutting part 160 to isolate the cylinder mechanism 161 from an atmosphere around the substrates W. Consequently, it is possible to provide the substrate processing apparatus and method that do not cause a diaphragm to be deformed excessively.
    Type: Application
    Filed: June 3, 2003
    Publication date: December 4, 2003
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masaki Taira
  • Patent number: 6655898
    Abstract: An apparatus and method for simultaneously cycle-testing two wafer storage containers is provided. The two wafer containers are maintained in a counterbalance relationship to each other and cycled in a vertical up-and-down motion to simulate the forces of a selected overhead transport system.
    Type: Grant
    Filed: December 11, 2001
    Date of Patent: December 2, 2003
    Assignee: NEC Electronics, Inc.
    Inventors: David Liu-Barba, Simon Tong
  • Patent number: 6651704
    Abstract: Stationary and pivotable trays for semiconductor wafer transfer are disclosed. A first moving equipment is movable to move a container for holding one or more semiconductor wafers from a wafer loading and unloading position to a wafer tank immersion position. A second moving equipment is movable to move the container from the wafer loading and unloading position to a container loading and unloading position. A stationary tray is located under the wafer loading and unloading position to collect liquid dripping from the first moving equipment. A pivotable tray is located to one side of the stationary tray, to collect the liquid dripping from the first moving equipment when this equipment is moving to the wafer loading and unloading position, such that the pivotable tray is lowered. The pivotable tray is raised when the second moving equipment is moving to the wafer loading and unloading position.
    Type: Grant
    Filed: November 19, 2001
    Date of Patent: November 25, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Yin-Cheng Ma
  • Patent number: 6647632
    Abstract: A position measuring apparatus for an alignment of an array of devices such as a thin film magnetic heads on a semiconductor wafer with a high degree of accuracy and satisfactory reproducibility, comprising, on a base, an object holding unit on which an object to be measured, an X-stage, a portal sub-base arranged across over the X-stage, a Y-stage arranged on the portal sub-base, a TV microscope (detector) capable of movement in a Z-axial direction, a ball circulation type linear guide and a motor for driving and guiding the X-stage and the Y-stage, an X-axial straight bar and a Y-axial straight bar attached to side surfaces of the object holding unit, and triangulation type laser displacement meters arranged in the vicinity of and in opposite to the X-axial bar and Y-axial bar, for detecting lateral motion errors during straight movement of the holding unit in the X- and Y-axial direction with a high degree of accuracy.
    Type: Grant
    Filed: February 1, 2002
    Date of Patent: November 18, 2003
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tamotsu Tominaga, Satoshi Hirokawa
  • Patent number: 6645877
    Abstract: A method for operating a multi-station processing chamber is described. A wafer is loaded onto the first station then indexed to the second station prior to processing. The indexing causes the wafer to be well-seated on it spindle before being processed. This prevents an improperly seated wafer from being processed at the first station.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: November 11, 2003
    Assignee: Intel Corporation
    Inventors: Andrew Ott, Jennifer L. O'Loughlin
  • Patent number: 6641348
    Abstract: A device for coupling containers at a loading and unloading port with a horizontally displaceable platform for receiving the container has the object of increasing protection against faulty operation and faulty loading in a simple manner while guaranteeing technical cleanroom requirements and without limiting the clear space of the platform. An arrangement for detecting the presence of a container and for distinguishing between containers with different contents is provided for an expectancy area above the platform. The device is used for the loading and unloading at machining or processing stations of objects that are to be transported in a container, especially objects in the fabrication process for integrated circuits.
    Type: Grant
    Filed: November 2, 1998
    Date of Patent: November 4, 2003
    Assignee: Brooks Automation GmbH
    Inventors: Klaus Schultz, Alfred Schulz, Marlies Mages
  • Patent number: 6642997
    Abstract: A substrate conveying system for conveying a substrate contained in an accommodating container having a supporting member for supporting the substrate. The system includes a hand for holding the substrate, a driving mechanism for moving the hand toward and away from the container, along a direction approximately parallel to the surface of the substrate, the driving mechanism being operable to move the hand to below the substrate, an elevation mechanism for moving the hand relative to the container, along a direction approximately perpendicular to the surface of the substrate, the elevation mechanism being operable to move the hand upwardly relative to the supporting member, for transfer of the substrate from the supporting member to the hand, and an obstacle detecting system for detecting the presence/absence of an obstacle within a movement range of the hand defined by the driving mechanism.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: November 4, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6639654
    Abstract: A device and method are provided to remove a wafer stage carrier carrying a wafer stage assembly from an exposure apparatus. The wafer stage carrier may be removably fastened to the apparatus frame of the exposure apparatus by any types of mechanical fasteners. The removal assembly includes a set of expandable supports and a set of removal supports. When the apparatus frame supports the wafer stage carrier, the wafer stage carrier hangs above a stationary surface, such as the ground. To remove the wafer stage carrier, the set of expandable supports is expanded until it reaches the ground and is capable of supporting the weight of the wafer stage carrier. At this juncture, the mechanical fasteners may be removed to allow the weight of the wafer stage carrier to transfer from the apparatus frame to the expandable supports.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: October 28, 2003
    Assignee: Nikon Corporation
    Inventors: Michael Binnard, Douglas C. Watson
  • Publication number: 20030194309
    Abstract: The invention relates to transporting rollers (22) for transporting essentially flat articles, to holding-down means (23) for pressing the articles onto transporting rollers (22), and to a transporting system (30). In this case, the transporting roller (22) is of at least two-part configuration and comprises a spindle element and at least one track element (1), the latter enclosing the spindle element in a tubular manner.
    Type: Application
    Filed: April 15, 2003
    Publication date: October 16, 2003
    Applicant: RENA Sondermaschinen GmbH
    Inventor: Jurgen Gutekunst
  • Patent number: 6630988
    Abstract: Methods and apparatus for ensuring the proper handling of reticles in the manufacturing of microdevices are disclosed. The methods and apparatus employ one or more reticle stop blocks fixed to a reticle handling arm. The one or more reticle stop blocks are designed and arranged to engage an edge of the reticle in order to place the reticle in a desired position on the reticle handling arm should the reticle be improperly arranged in a cassette in which the reticle is stored. By ensuring proper placement of the reticle on the reticle handling arm when the reticle is removed from the cassette, the likelihood of a subsequent fault in handling the reticle is greatly reduced.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: October 7, 2003
    Assignee: Intel Corporation
    Inventors: Daniel Lawson Greene, Jr., Ron Sinicki, Kurt Woolley
  • Publication number: 20030185664
    Abstract: An alignment apparatus for substrates comprises a first movement mechanism moving a substrate to be treated in a horizontal direction, a second movement mechanism moving the substrate in a vertical direction, a rotation mechanism rotating the substrate in a substrate plane, an illumination tool irradiating the substrate from a sidewise direction in a state where the substrate is held in a desired height position by the second movement mechanism, an image sensor picking up an image on a back surface of the substrate in an irradiated state, an edge position sensor sensing plural edge positions of the substrate from an image obtained by the image sensor, and a control computer obtaining a positional shift of the substrate based on the edge positions sensed by the edge position sensor and correcting a positional shift of the horizontal and rotation directions by the first movement and rotation mechanisms.
    Type: Application
    Filed: March 27, 2003
    Publication date: October 2, 2003
    Inventors: Soichiro Mitsui, Toru Tojo, Kuminobu Akeno
  • Patent number: 6625835
    Abstract: A cascaded disk scrubbing system and method are provided. The cascaded disk scrubbing system includes an array of rows of brush pairs. Each row includes a plurality of counter-rotating brush pairs that are arranged horizontally and longitudinally, and configured to receive and process a disk in a vertical orientation through disk preparation zones defined by each pair of brushes. Below and between the pairs of brushes is a track that is configured to apply a rotation to the disk and to transition the disk in a vertical orientation through the brush pairs. Nozzles dispense fluids on and over the brush pairs, and the brush pairs are configured such that fluids are dispensed through the brush pairs. Nozzles dispense a curtain of fluid between each disk preparation zone, and the cascaded disk scrubbing system is configured to progress from dirtiest to cleanest as the disk transitions through each disk preparation zone.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: September 30, 2003
    Assignees: Lam Research Corporation, Oliver Design, Inc.
    Inventors: David T. Frost, Oliver David Jones, Scott Petersen, Donald Stephens, Anthony Jones, Bryan Riley
  • Patent number: 6624048
    Abstract: An apparatus for constructing a number of integrated circuits from a single substrate is provided by the present invention. A number of integrated circuits are constructed on the single substrate. The individual integrated circuits are then separated by cutting the substrate with a dicing saw. A vacuum chuck is used to grasp the individual integrated circuits while a back grinding process is performed on the individual circuits to polish the circuits to a predetermined thickness. The integrated circuits are then placed into integrated circuit packages. By performing the back grinding process after the substrate has been divided into the separate individual circuits, the present invention eliminates the need to back grind portions of the substrate that are not further used, and tends to eliminate handling of the fragile thinned substrate.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: September 23, 2003
    Assignee: LSI Logic Corporation
    Inventor: Robert Madge
  • Publication number: 20030173189
    Abstract: A cover or housing which spans an output port of a first station and an input port of a second station in a manufacturing facility, for example, and covers or houses a conveyor extending between the stations for conveying articles from the first station to the second station. A source of nitrogen gas or clean dry air is provided in communication with the housing interior, and at least one exhaust fan is provided on the housing. As articles are conveyed from the first station to the second station, nitrogen gas or clean dry air is blown into the housing and drawn therefrom through the exhaust fan or fans, such that the flowing gas or air removes particles from the articles as they are carried to the second station.
    Type: Application
    Filed: March 18, 2002
    Publication date: September 18, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Ming Chen, Wen-Chi Wang
  • Patent number: 6616526
    Abstract: The clean room of this invention includes a first clean region in which a semiconductor substrate to be set in a fabrication system is disposed and a second clean region adjacent to the first clean region in which an operator is disposed. A first air flow flowing downward is introduced into the first clean region and a second air flow flowing downward is introduced into the second clean region. The rate of the first air flow is higher than the rate of the second air flow.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: September 9, 2003
    Assignee: Matsushita Electric Industrial Co., LTD
    Inventors: Takahiro Matsuura, Haruo Nakase
  • Patent number: 6615113
    Abstract: An article holder has sensors that detect whether an article held in the holder is a workpiece or a piece of packaging material. Examples are end effectors suitable for picking up semiconductor wafers and packaging material from a pod or some other carrier.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: September 2, 2003
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Frank E. Kretz, Alexander J. Berger, Sean A. Casarotti
  • Publication number: 20030161714
    Abstract: The invention relates to a storage and buffer system (12) for connection to transport units for containers, such as for example, cassettes (7), FOUP pods (8), or SMIF boxes for the storage of wafers (9) and similar, comprising a number of conveyor elements (1), arranged along a conveyor line (13), whereby the conveyor elements (1) are arranged in at least one storage line (14), running essentially horizontal, next to, under or over the transport line (13), whilst maintaining a transfer position relative to the adjacent conveyor elements (1). The system further comprise a means of rotation (17) with at least one conveyor element (1), by means of which the containers (7,8) can be transferred in a horizontal direction from the conveyor line (13) to a storage line (14)and a vice versa, or from one storage line to another.
    Type: Application
    Filed: April 9, 2003
    Publication date: August 28, 2003
    Inventors: Jakob Blattner, Rudy Federici
  • Patent number: 6607602
    Abstract: Device for processing semiconductor wafers, comprising at least one processing chamber which is completely closed with the exception of a connection to a distribution. System. In said at least one processing chamber there are situated preferably two reactors and a common feed/removal system in order to be able to subject wafers, which may optionally be arranged in boats, to an identical processing operation.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: August 19, 2003
    Assignee: ASM International N.V.
    Inventors: Ernst Hendrik August Granneman, Albert Hasper, Jan Zinger
  • Patent number: 6607380
    Abstract: A semiconductor exposure apparatus includes an exposure stage for holding a substrate when a predetermined exposure process is performed to the substrate, a transfer station for receiving a substrate from a resist coating unit, the transfer station having a temperature adjusting device for adjusting a temperature of the substrate, and a conveying device for conveying a substrate from the transfer station to the exposure stage.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: August 19, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hiroshi Nakazato
  • Patent number: 6603117
    Abstract: A self contained sensing apparatus includes a housing establishing an interior compartment, the housing having a maximum thickness which is less than the size of an access portal for inserting the housing into an area for sensing. The housing includes a window extending through a principal housing surface. A sensor is provided within the housing and generally aligned with the window for sensing at least one parameter. In a preferred embodiment, the sensor is an optical sensor for sensing images through the window. A transmitter is provided within the housing and coupled with the sensor for receiving signals representative of the sensed parameter and transmitting the signals out of the housing.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: August 5, 2003
    Assignee: Greene, Tweed & Co.
    Inventors: Christopher Corrado, George Rawa, Carmin Quartapella, Timothy Edwards
  • Patent number: 6599763
    Abstract: A reduction in wafer processing cycle time is achieved by conducting wafer verification and slot randomization of a set of wafers as the wafers are moved through a singular processing location. In an example embodiment, a method of processing a set of wafers in a wafer processing system includes providing each of the wafers with a scribe code thereon. Each of the wafers is presented to a first processing location with the processing location having at least one processing chamber. The scribe code on each wafer is then read as the wafer is being placed into the processing chamber. Each wafer is processed and the set of wafers is slot randomized as they are removed from the first processing location and placed into a wafer cassette. An important advantage of the present invention is the reduced cycle times and reduced capital investment that this method and system bring to wafer processing.
    Type: Grant
    Filed: June 20, 2000
    Date of Patent: July 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jose Carlos Reyes, Michael McCarthy, Toby Winters
  • Patent number: 6597964
    Abstract: A thermocoupled lift system for semiconductor etch chambers. The system comprises multiple thermocoupled lift pins which are vertically extendible from a heater block inside a semiconductor etch chamber and are capable of lowering a semiconductor wafer onto the heater block before the etching process and lifting the wafer from the heater block after the etching process. In the event that the temperature of the wafer exceeds a predetermined value after the etching process, the lift pins trigger release of a cooling purge gas such as nitrogen into the etching chamber to partially cool the wafer prior to transfer of the wafer to a cool down chamber for further cooling. The initial gas-induced cooling of the wafer prevents thermal stressing thereof upon transfer of the wafer to the cool down chamber.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: July 22, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yu-Chih Huang, Yin-Cheng Ma, Sawyer Ho, Wen-Shyang Tsai, Chen-Feng Lin
  • Publication number: 20030129051
    Abstract: An apparatus and method of transferring and loading a reticle onto a receiving station (for example, a reticle exposure stage). The reticle is first retrieved from a storage facility with an end effector having an reticle plate coupled to a mounting plate. The mounting plate connects the end effector to a robotic arm. The reticle is aligned in an out-of-plane position in an off-line alignment station. The alignment is in compliance with the alignment requirement at the receiving station. The reticle is mounted onto the reticle plate after undergoing the alignment at the off-line alignment station. The reticle is then transferred from the off-line alignment station to the receiving station while maintaining the previous alignment at the off-line alignment station. The apparatus further provides rigidity of the mounted reticle to ensure compliance with the alignment requirement at the receiving station.
    Type: Application
    Filed: January 9, 2002
    Publication date: July 10, 2003
    Applicant: ASML US, Inc.
    Inventors: Glenn M. Friedman, Peter Kochersperger, Joseph Laganza
  • Patent number: 6588043
    Abstract: A cascaded wafer scrubbing system and method are provided. The cascaded wafer scrubbing system includes an array of rows of brush pairs. Each row includes a plurality of counter-rotating brush pairs that are arranged horizontally and longitudinally, and configured to receive and process a wafer in a vertical orientation through wafer preparation zones defined by each pair of brushes. Below and between the pairs of brushes is a track that is configured to apply a rotation to the wafer and to transition the wafer in a vertical orientation through the brush pairs. Nozzles dispense fluids on and over the brush pairs, and the brush pairs are configured such that fluids are dispensed through the brush pairs. Nozzles dispense a curtain of fluid between each wafer preparation zone, and the cascaded wafer scrubbing system is configured to progress from dirtiest to cleanest as the wafer transitions through each wafer preparation zone.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: July 8, 2003
    Assignees: Lam Research Corporation, Oliver Design, Inc.
    Inventors: David T. Frost, Oliver David Jones, Scott Petersen, Donald Stephens, Anthony Jones, Bryan Riley
  • Patent number: 6588123
    Abstract: An apparatus and a method for preventing a wafer mapping system of an SMIF system from being polluted by a corrosive gas remaining on wafers according to the present invention are disclosed. The wafer mapping system includes a plurality of mirrors and sensors used to detect the positions of the wafers. The apparatus of the prevent invention comprises a pipe having a plurality of holes thereon and a purge gas flowing inside the pipe, and is characterized in that the purge gas is emitted out from the plurality of holes toward the mirrors of the wafer mapping system, thereby preventing the mirrors from being polluted by the corrosive gas remaining on the wafers. The method of the prevent invention is characterized by emitting a purge gas from a pipe toward the mirrors of the wafer mapping system, thereby preventing the mirrors from being polluted by the corrosive gas remaining on the wafers.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: July 8, 2003
    Assignee: Promos Technologies, Inc.
    Inventors: San-Pen Chen, Shun-Lian Wu, William Wang
  • Publication number: 20030123971
    Abstract: A substrate processing apparatus for processing a substrate while transferring the substrate among a plurality of units with which the substrate is to be processed or on which the substrate is to be placed. This apparatus is provided with: a first unit group disposed along a linear first line; a second unit group disposed along a linear second line crossing the first line at a predetermined angle; and a transfer robot capable of transferring and receiving a substrate to and from the first unit group and the second unit group.
    Type: Application
    Filed: December 23, 2002
    Publication date: July 3, 2003
    Applicant: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshihiro Koyama, Yasuhiro Mizohata
  • Patent number: 6582296
    Abstract: A semiconductor manufacturing apparatus ventilating system can reduce energy consumption with respect to air-conditioning and a power spent for transporting air in a clean room by minimizing an amount of circulating air in a clean room. The clean room air, which is supplied to the clean room, is introduced into an air circulating system including the semiconductor manufacturing apparatus. The air circulating system is separated from an atmosphere in the clean room. The clean room air in the air circulating system is circulated within the air circulating system.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: June 24, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Kiyoshi Komiyama
  • Patent number: 6582182
    Abstract: A semiconductor wafer cassette storage cabinet or stocker having a retrieval and transfer system constructed in the form of a kiosk encircling a pick-up and transfer apparatus. The structure is formed of a plurality of identical sections having wall panels and roof panels joined together to form a nearly semi-circular enclosure around the pick-up and transfer apparatus. Each section has multiple level input/output ports adjacent to each other with a shelf for supporting a semiconductor wafer cassette. Shelves at the input/output ports are constructed of rails supporting drive rollers and a photodetector to activate the drive rollers to fill an output port whenever a cassette has been retrieved and transferred. One embodiment with five identical sections can store and retrieving up to twenty semiconductor wafer cassettes while an optional embodiment with seven identical sections can store twenty-eight semiconductor wafer cassettes.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: June 24, 2003
    Assignee: Intrabay Automation, Inc.
    Inventor: Paul S. Whalen
  • Patent number: 6580087
    Abstract: An inspection apparatus in which the environment for inspecting a semiconductor wafer or the like at a high degree of cleanness to enable a fine pattern to be inspected properly. A main body portion 10 for inspecting the semiconductor wafer or the like is housed in the inside of a clean box 3 and clean air is supplied from the clean air unit 4 into the inside of the clean box 3 in which the main body portion 10 is accommodated. There are provided opening areas 80 in lateral sides of the clean box 3 lying laterally of the inspection stage 14 for the main body portion 10 and the vessel mounting space 8. The clean air supplied from the clean air unit 4 into the inside of the clean box is passed over the inspection stage carrying the semiconductor wafer and through the cassette 7b mounted in the vessel mounting space 8 so as to be discharged from the opening areas 80 to outside of the clean box 3.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: June 17, 2003
    Assignee: Sony Corporation
    Inventors: Yasuyuki Suzuki, Taketo Miyashita
  • Publication number: 20030108417
    Abstract: A semiconductor chip assembly system has a suction nipple for picking up a semiconductor chip from a carrier film. For this purpose, the suction nipple has a dimensionally stable cylindrical mouthpiece with a suction bore. The mouthpiece is adjoined by a rubber-elastic region of the suction nipple and goes over into a dimensionally stable suction tube attachment of the suction nipple.
    Type: Application
    Filed: December 6, 2002
    Publication date: June 12, 2003
    Inventors: Gerhard Holzapfel, Raimund Schindler
  • Patent number: 6577382
    Abstract: An object of the invention is to provide a substrate transport apparatus which enables prompt transfer of a substrate, and a substrate processing apparatus incorporating this. A substrate transport apparatus of the invention comprises a transport arm (45, 46, 145, 146) for supporting a peripheral portion of a substrate (W) at at least two places on the peripheral portion, and transporting the substrate to a stage (7).
    Type: Grant
    Filed: February 1, 2002
    Date of Patent: June 10, 2003
    Assignee: Nikon Corporation
    Inventors: Yoshiki Kida, Kenji Nishi
  • Patent number: 6568899
    Abstract: A wafer processing system occupies minimal floor space by using vertically mounted modules such as reactors, load locks, and cooling stations. Further saving in floor space is achieved by using a loading station which employs rotational motion to move a wafer carrier into a load lock. The wafer processing system includes a robot having extension, rotational, and vertical motion for accessing vertically mounted modules. The robot is internally cooled and has a heat resistant end-effector, making the robot compatible with high temperature semiconductor processing.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: May 27, 2003
    Assignees: WaferMasters, Inc., Tokyo Electron Limited
    Inventors: Hiromitsu Kuribayashi, Woo Sik Yoo
  • Patent number: 6568896
    Abstract: A chamber for transferring a substrate is provided. In one embodiment, a chamber for transferring a substrate includes at least one side wall supporting a lid and coupled to a chamber bottom. The side wall, lid and chamber bottom defining an evacuable volume therebetween. A passage is disposed at least partially through the side wall and chamber bottom. The passage has a first end that is disposed in the side wall and is exposed to the evacuable volume. The passage has a second end that is disposed on an exterior side of the chamber bottom. The passage may be utilized as a pumping port when coupled to a pumping system at the second end of the passage. Additionally, the port may be utilized as a sensor housing to shield the sensor from objects within the transfer chamber.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: May 27, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Timothy J. Franklin, Dan A. Marohl
  • Patent number: 6567725
    Abstract: Apparatus for teaching robot station location relative to a work piece apparatus includes an attachment that can be temporarily coupled to the apparatus and positioned in known relationship to the robot station location. A plurality of positional sensors are mounted on the attachment ring, the sensors each configured to produce a signal when a work piece carried by a robot arm is positioned a predetermined distance from the sensor. A signal receiver is configured to receive signals from the sensors and to indicate which of the sensors has produced the signal. The indication may be the activation of an LED display that indicates to an operator what the next movement of the robot arm should be in order to center the work piece with respect to the robot station location.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 20, 2003
    Assignee: Speedfam-Ipec Corporation
    Inventors: Ann Wilkey, Michael Smigel, Richard J. Stewart, III
  • Patent number: 6560896
    Abstract: Apparatus, method and system for the treatment of a wafer. After a treatment at elevated temperature, a wafer is taken out of the reactor in question with the help of a mechanical transport apparatus which preferably grips the wafer around the circumference and on the under side and consists of a wafer surrounding ring. The wafer is then placed in a floating wafer reactor where it is cooled in a controlled manner. Transport for further action or treatment then takes place.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: May 13, 2003
    Assignee: ASM International N.V.
    Inventors: Ernst Hendrik August Granneman, Vladimir Ivanovich Kuznetsov, Gert Jan Snijders
  • Patent number: 6562094
    Abstract: A storage and retrieval system is provided for safely and efficiently storing reticles in a clean environment. An enclosed storage unit is provided for storing the reticles, and other items such as wafers and the like, in an environment which minimizes the amount of contaminants and is suitable for use in a semiconductor fabrication clean room. A retrieval unit is provided separate from the enclosed storage unit for accessing and staging the reticles before they enter and leave the storage unit for minimizing exposure of the storage unit. The storage unit includes a movable storage matrix having a plurality of bays for storing the reticles. The movable storage matrix is selectively moved or rotated by a drive mechanism that is located external to the storage unit so that the storage unit is substantially free of contaminant generating components.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: May 13, 2003
    Assignee: PRI Automation, Inc.
    Inventors: Jeffrey M. Denker, John T. Hickey, Peter J. B. Teague, David Jordan, Jonathan Gordan, Mitchell Weiss
  • Patent number: 6560507
    Abstract: Each module of a wafer processing system is given a classification. Upon receipt of a command to move the wafer to one of the modules, a sequence enumerating the modules to be visited by the wafer before reaching its destination is created. The modules are added to the sequence based on their classification. The wafer is then worked on in each module enumerated in the sequence. By creating the sequence when needed, the number of static files that have to be maintained and stored in the wafer processing system is minimized. Further, creating the sequence at the time it is needed allows the sequence to take advantage of the history of the wafer and thereby eliminate unnecessary steps.
    Type: Grant
    Filed: October 20, 2000
    Date of Patent: May 6, 2003
    Assignee: Novellus Systems Inc.
    Inventors: Sofya B. Malitsky, Stanley P. Liu, Janet E. Yi, Eileen A. H. Wong
  • Patent number: 6558100
    Abstract: The vacuum processing apparatus has an atmospheric loader having a plurality of cassette tables and a transport unit for carrying wafers, a vacuum loader equipped with vacuum wafer-processing chambers and a vacuum transport chamber communicating with the processing chambers via gate valves, and a locking unit provided with a loading lock chamber and unloading lock chambers that have gate valves for connecting the atmospheric transport unit and vacuum transport chamber; wherein two etching chambers, formed by UHF-ECR reactors, are arranged symmetrically with respect to an axial line passing through the middle of the vacuum transport chamber and locking unit, only at the opposite side of the locking unit across the vacuum transport chamber, and at an acute angle with respect to the vacuum transport chamber, and UHF-ECR antennas, almost parallel to the foregoing axial line, are opened at the opposite side to that of the vacuum transport chamber.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: May 6, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Mitsuru Suehiro, Kazue Takahashi, Hideyuki Yamamoto, Katsuya Watanabe
  • Publication number: 20030079957
    Abstract: A work conveying system eliminates gravitational deflection of an extended articulated arm of a vertical mover. The conveying system includes a horizontal mover which carries the vertical mover and a work holder for delivering a workpiece to various apparatuses without dislocation. In conveying a workpiece horizontally, the vertical mover folds compactly to minimize air disturbance. Plural arm elements of the articulated arm overlap and are connected together in an alternate manner at their respective end portions, and the articulated arm is extended and contracted by pivotal movement of the arm elements, driven by a single drive unit. The horizontal mover is movable in an arbitrary horizontal plane within the upper ceiling space within the clean room. Shafts in the articulated arm and a rotary drive unit in the work holder have hollow interiors which are intercommunicated and at a negative pressure.
    Type: Application
    Filed: August 22, 2002
    Publication date: May 1, 2003
    Inventors: Tetsunori Otaguro, Kazuyuki Matsumura, Takafumi Iseri