Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 6445441
    Abstract: An exposure apparatus for performing exposure processing for a plurality of substrates in accordance with a plurality of jobs, including a first job and a second job to be performed subsequent to the first job, each of the jobs having predetermined operations and exposure parameters necessary for the exposure processing set in advance. The apparatus includes a switching device for switching from the first job to the second job during or after a period of exposure by a final shot of a final substrate of the plurality of substrates, to be exposed in accordance with the first job, and before the exposed final substrate is unloaded from a position at which the final substrate is exposed.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: September 3, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventor: Takashi Mouri
  • Patent number: 6439824
    Abstract: A process system for processing semiconductor wafers includes a stocker module, and immersion module, and a process module. A process robot moves on a lateral rail to transfer wavers between the modules. The immersion module is separated from the other modules, to avoid transmission of vibration. Immersion tanks are radially positioned within the immersion module, to provide a compact design. An immersion robot moves batches of wafers on an end effector between the immersion tanks. The end effector may be detachable from the immersion robot, so that the immersion robot can move a second batch of wafers, while the first batch of wafers undergoes an immersion process.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: August 27, 2002
    Assignee: Semitool, Inc.
    Inventors: Randy Harris, David Peterson, Jeffry Davis
  • Patent number: 6440261
    Abstract: Apparatus for multi-chambered semiconductor wafer processing comprising a polygonal structure having at least two semiconductor process chambers disposed on one side. An area between the process chambers provides a maintenance access to the semiconductor processing equipment. Additionally, the apparatus may be clustered or daisy-chained together to enable a wafer to access additional processing chambers without leaving the controlled environment of the semiconductor wafer processing equipment.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: August 27, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Donald J. K. Olgado, Allen L. D'Ambra
  • Publication number: 20020114687
    Abstract: A materials handling system comprises a rotary shelf rotatable around a rotary shelf axis, and a plurality of load receiving sections disposed on a rotary circular path having its center located at the rotary shelf axis. A transfer operating section of a transfer means disposed laterally outside the rotary shelf is rotatable around a transfer means axis and operates on a transfer circular path tangentially superposed on the rotary circular path, and a fixed shelf is installed on the transfer circular path. The transfer means unnecessitates an occupied space for travel, and the whole system including the rotary shelf and fixed shelf can be made compact in size. The transfer means having no traveling mechanism allows the transfer operating section to be lowered almost to the floor. With the rotary shelf and fixed shelf, the storage level is allowed to be lowered to further increase the amount of storage.
    Type: Application
    Filed: February 8, 2002
    Publication date: August 22, 2002
    Inventor: Yoshitaka Inui
  • Patent number: 6435797
    Abstract: A method is provided for loading a heated susceptor or a susceptor segment of a deposition reactor with a substrate wafer which is resting on a holding means. Before making contact between the substrate wafer and the susceptor or susceptor segment, a holding position is reached in which the substrate wafer and the susceptor or susceptor segment are at a spaced vertical distance from one another. The substrate wafer is only brought into contact with the susceptor or the susceptor segment after a residence time in this holding position. There is also a device for loading a susceptor, in particular a susceptor segment of a deposition reactor.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: August 20, 2002
    Assignee: Wacker Siltronic Gesellschaft für Halbleitermaterialien AG
    Inventors: Herbert Mittermaier, Georg Brenninger, Alois Aigner
  • Patent number: 6438458
    Abstract: A substrate conveying system wherein, at a substrate transfer position of a conveyance robot, a robot arm is moved upwardly at a normal speed from its lowermost position, and wherein the movement speed is changed to a lower speed at a predetermined position. During upward motion at the lower speed, the substrate is received and, while continuing the upward motion, the movement speed is changed back to the normal speed, at a second predetermined position. The robot arm continues its upward motion to its topmost position, with this normal speed.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: August 20, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroshi Shimoike, Takashi Nakahara
  • Publication number: 20020110449
    Abstract: A method of manipulating preferable thin wafers, preferably having a thickness of less than 200 &mgr;m, wherein the wafers are placed prior to polishing or another processing step for reducing the thickness thereof on a transportable electrostatic carrier. The wafers remain on the transportable electrostatic carrier for the duration of and between at least two processing steps, during the manipulating steps and during any necessary intermediate storage.
    Type: Application
    Filed: December 21, 2001
    Publication date: August 15, 2002
    Inventors: Joachim Arlt, Karl-Hermann Busse
  • Publication number: 20020104950
    Abstract: In precision equipment such as a semiconductor exposure apparatus, an inertial load is driven by using an actuator, and an actuator fixed to a structure such as a vibration isolation base, an apparatus mounted on the base, and a control force is applied to the structure by using a drive reaction force generated upon driving of the inertial load, thereby stably and quickly suppressing produced vibrations.
    Type: Application
    Filed: November 23, 2001
    Publication date: August 8, 2002
    Inventor: Takehiko Mayama
  • Patent number: 6429139
    Abstract: A wafer handling system for a wafer processing apparatus includes a wafer load lock chamber, a wafer processing chamber and a transfer chamber operatively coupled to the wafer load lock chamber and the wafer processing chamber. The transfer chamber includes a wafer transfer mechanism comprising a transfer arm pivotably coupled to a portion of the transfer chamber which forms an axis. The transfer arm is operable to rotate about the axis to transfer a wafer between the wafer load lock chamber and the process chamber in a single axis wafer movement. The invention also includes a method of transferring a wafer to a wafer processing apparatus. The method includes loading a wafer into a wafer load lock chamber and rotating a transfer arm into the wafer load lock chamber to retrieve the wafer therein.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: August 6, 2002
    Assignee: Eaton Corporation
    Inventors: Kevin Thomas Ryan, Peter Lawrence Kellerman, Frank Sinclair, Ernest Everett Allen, Roger Bradford Fish
  • Patent number: 6420098
    Abstract: The invention relates to a system and a method for manufacturing semiconductor devices on a wafer with the steps of: coating (201) a photoresist onto said wafer, heating (202) said wafer to a prebake temperature for outgassing the solvent of the photoresist, exposing (203) said wafer to deep UV light for chemically modifying said photoresist in predetermined areas, heating (204) said wafer to a post exposure bake temperature for activating a chemical reaction, developing (205) said photoresist, stabilizing (206) said photoresist, meteorology inspection (207), etching, wet processing or implanting ion, wherein said stabilizing (206) of said photoresist occurs before the beginning of the etch process and comprises a controlled chemical contamination of said photoresist surface by ammonia/amine chemicals.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: July 16, 2002
    Assignee: Motorola, Inc.
    Inventor: Karl Mautz
  • Patent number: 6421113
    Abstract: A lithography system including a reticle carrier and reticle library cassette designed for electro-static discharge (ESD) protection. A reticle carrier, such as a SMIF (Standard Mechanical Interface) pod, and reticle library cassette are fabricated largely of electrically conductive materials. Such materials may include polycarbonate plastic impregnated with carbon fibers or an electrically conductive stainless steel. The electrically conductive materials used to fabricate the reticle carrier and reticle library cassette may allow static electrical charges to be drained to ground, thereby preventing ESD damage to the reticles. Furthermore, the reticle carrier may incorporate rounded edges and corners, which may aid in the prevention of static charge buildup near the pod.
    Type: Grant
    Filed: February 14, 2000
    Date of Patent: July 16, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Lewis Lynn Armentrout
  • Patent number: 6417014
    Abstract: A processing line includes a processing tool and an automatic process controller. The processing tool is adapted to deposit a layer of material on a semiconductor wafer based on an operating recipe. The automatic process controller is adapted to identify a post-idle set of wafers to be processed in the processing tool after an idle period, determine deposition times for wafers in the set of post-idle wafers, and modify the operating recipe of the processing tool for each of the wafers in the post-idle set based on the deposition times. A method for reducing wafer to wafer deposition variation includes designating a set of post-idle wafers; determining a deposition time for each of the wafers in the post-idle set, at least two of the deposition times being different; and depositing a layer on the wafers in the post-idle set based on the deposition times determined.
    Type: Grant
    Filed: October 19, 1999
    Date of Patent: July 9, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kin-Sang Lam, Sey-Ping Sun
  • Patent number: 6414744
    Abstract: A lithographic projection apparatus comprises an illumination system for supplying a projection beam of radiation, a mask table provided with a mask holder for holding a mask, a substrate table provided with a substrate holder for holding a substrate, and a projection system for imaging an irradiated portion of the mask onto a target portion of the substrate. The lithographic projection apparatus further comprises a mask-handling apparatus to exchange a mask between a load-port module for receiving masks and the mask holder, the mask-handling apparatus having first and second robots for carrying out the exchange. The first robot exchanges a mask between the load-port module and the second robot, and the second robot exchanges a mask between the first robot and the mask holder. Further, the first robot may also transfer a mask to an internal mask library and a mask inspection module.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: July 2, 2002
    Assignee: Asml Netherlands B.V.
    Inventors: Doede Kuiper, Jan J. Kuit
  • Patent number: 6413037
    Abstract: The present invention provides a robot blade for supporting substrates in a processing system. More particularly, the invention provides a blade comprising a contact cup flexibly attached thereto to compensate for inaccurate alignment between the blade and a substrate and allow accurate alignment and flush contact between the contact cup and a substrate. The substrate can then be reliably secured to the blade when retrieved from a face-down position, with the blade positioned above the substrate.
    Type: Grant
    Filed: March 14, 2000
    Date of Patent: July 2, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Jeffrey A. Brodine
  • Patent number: 6408537
    Abstract: A method and apparatus is disclosed for staging or cooling a substrate between high temperature thermal processing steps. In the disclosed embodiment, one or more cooling stations are located off-line within a wafer handling chamber, outside the thermal processing chamber. After thermal processing, a hot wafer can be loaded on to one station, where the wafer is subjected to forced convection cooling. In particular, the wafer is subjected to cooling gas from above and below through perforated upper and lower showerhead assemblies. The wafer can thus be cooled rapidly on a station while other wafers are transferred into and out of the processing chamber, Desirably, the wafer is cooled on the station to a point at which it can be handled by a low temperature wafer handler and stored in a low temperature cassette.
    Type: Grant
    Filed: May 30, 2000
    Date of Patent: June 25, 2002
    Assignee: ASM America, Inc.
    Inventor: Thomas W. Aswad
  • Patent number: 6405449
    Abstract: A method and apparatus for data disks adapted to compensate for effects of shock loads imparted to a disk drive which are of sufficient magnitude to cause disk shifts. A series of topographical features are formed on the disk surface or edge to cause signal fluctuation in a sensor during read-back mode operation. An initial signal profile is stored as a map indicative of track or disk alignment with respect to the actuator assembly. Selectively, such as after a known shock event, the topographical features are re-profiled. A comparison of the profiles provides a measure of any track distortion which must be compensated for in future read-write operations by adjustments to data track servo follower algorithms.
    Type: Grant
    Filed: February 7, 2001
    Date of Patent: June 18, 2002
    Assignee: Seagate Technology, LLC
    Inventors: Ramesh Sundaram, Wei Yao, Li-Ping Wang, David Shiao-Min Kuo
  • Patent number: 6403924
    Abstract: A heat treatment apparatus and a substrate processing apparatus having the heat treatment apparatus incorporated therein are provided. Nitrogen gas flowing through a gas inlet (16) into a chamber (15) is diffused by a diffusion filter (18) to produce a uniform laminar flow in a horizontal direction, and the laminar flow exits from the chamber (15) through an opening (41) to prevent outside air from entering the chamber (15), thereby maintaining a low oxygen concentration atmosphere within the chamber (15). Performing heat treatment upon a substrate by a heater (30) within the chamber (15) prevents oxygen molecules from being introduced into a film on the substrate to provide a low dielectric constant of the film. Within the chamber (15), the substrate (W) held on a transport arm (60) in which a coolant is circulated is rapidly cooled down. Thus, processing time is shortened, and high processing efficiency is provided.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: June 11, 2002
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Toyohide Hayashi
  • Patent number: 6398823
    Abstract: The present invention comprises a dynamic brake that applies restraining frictional force to a wafer in a wafer holder while the wafer holder is substantially at rest, but releases the restraining force as the processing carousel containing several wafer holders rotates about a central axis of the carousel. This dynamic brake preferably comprises a boot that passes through an opening in the wafer holder to rest on the surface of the wafer in an exclusion zone near the wafer's edge. The exclusion zone is typically no more than about 3mm in extent. The frictional force between the boot and wafer is sufficient to prevent unwanted motion of the wafer in the holder. As the wafer holder rotates about a central axis of the processing carousel, centrifugal forces applied to the brake arising from such rotation cause the boot to pivot upward, releasing the frictional force on the wafer.
    Type: Grant
    Filed: December 7, 1999
    Date of Patent: June 4, 2002
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Oleg Siniaguine, Alex Berger
  • Patent number: 6394733
    Abstract: A substrate body transfer apparatus which is capable of isolating the atmospheres of an external processing apparatus and an air conveyance apparatus and preventing the mutual contamination thereof, and which is capable of conducting the transfer of substrate bodies at a low level of particulate matter and contamination which satisfies the ultra-clean level. The substrate body transfer apparatus is provided with a vacuum container having an entrance and exit which communicate with the interior space of an air conveyance apparatus and the interior space of an external processing apparatus; a horizontal disc-shaped upper part valve and lower part valve are housed within the vacuum container and after the substrate body has been placed in a space surrounded by the upper and lower valves and this space has been sealed, the space is evacuated or the gas therein is replaced.
    Type: Grant
    Filed: January 12, 2001
    Date of Patent: May 28, 2002
    Assignee: Kabushiki Kaisha Watanabe Shoko
    Inventors: Masayuki Toda, Masaki Kusuhara, Masaru Umeda, Michio Yagai
  • Patent number: 6385503
    Abstract: The invention relates to a reactor (1) for processing wafers (7) comprising at least one process chamber (5), at least one transport chamber (2) with a transport robot (3) for the wafers (7), and at least one magazine (6) for wafers (7) with a lifting mechanism (12). To safeguard a better protection against damage also for wafers (7) stored in a magazine (6), an optical detection device (13, 14, 15, 16) is provided in the reactor (1) for monitoring the position of the wafers (7) stored in a magazine (6). All wafers stored one above the other in a magazine (6) with several compartments can thus be monitored, for example by means of a simple photoelectric barrier (13, 15). It is possible in this manner to monitor not only those wafers (7) which were just previously taken out or put in by the transport robot (3), but also all other wafers (7) present in the magazine (6).
    Type: Grant
    Filed: June 16, 1998
    Date of Patent: May 7, 2002
    Assignee: U.S. Philips Corporation
    Inventor: Wolfgang Volle
  • Patent number: 6383606
    Abstract: A semiconductor wafer diaphragm comprising a non-flat film coupled to a mounting lip is disclosed. The semiconductor wafer diaphragm is useful for reducing the edge fracture of semiconductor wafer die due to sagging of prior art semiconductor wafer tape after a semiconductor wafer adhered thereto is cut. The non-flat film of the semiconductor wafer diaphragm preferably has a surface of a convex shape and is either inherently sticky or has an adhesive layer applied to said surface. The semiconductor wafer diaphragm is used by mounting an uncut semiconductor wafer to the diaphragm in the ordinary way thereby collapsing the diaphragm, cutting the semiconductor wafer, thereafter restoring the diaphragm to the original expanded shape of the semiconductor wafer diaphragm, and removing the individually created die.
    Type: Grant
    Filed: February 2, 1999
    Date of Patent: May 7, 2002
    Inventor: Michelle Broyles
  • Publication number: 20020048509
    Abstract: A processing system for an object to be processed has a housing defining a closed space. The housing is provided with an opening through which an airtight carrying box is carried in and carried out. The carrying box hermetically contains the object to be processed. A lid operating mechanism for opening and closing the lid of the carrying box is disposed near the opening. A contamination preventing duct covers a space around the lid of the carrying box and supplies a clean gas of a high cleanliness therein at least when the lid of the carrying box is opened.
    Type: Application
    Filed: August 23, 2001
    Publication date: April 25, 2002
    Inventors: Kazunari Sakata, Yasushi Takeuchi
  • Patent number: 6374508
    Abstract: A method and arrangement for lifting lowering and centering a substrate on a surface employs lift pins have conical tips. A capture range is provided by the conical tips to capture and center misaligned wafers. One or more of the pins are inclined in certain embodiments to enhance the alignment capability of the lift pins. The inclined lift pins, when retracting into a support member at an angle, move a supported substrate horizontally into proper alignment.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: April 23, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Kenneth Tsai, Ilya Perlov, Eugene Gantvarg
  • Patent number: 6368411
    Abstract: The system and method for molecular contamination control permits purging a SMIF pod to desired levels of relative humidity, oxygen, or particulates. The SMIF pod includes an inlet port including a check valve and filter assembly for supplying a clean, dry gaseous working fluid to maintain low levels of moisture, oxygen, and particulate content around materials contained in the SMIF pod. The SMIF pod outlet port, which also includes a check valve and filter assembly, is connected with an evacuation system. Flow of purge gas inside the SMIF pod can be directed with one or more nozzle towers to encourage laminar flow inside the pod, and one or more outlet towers., having a function similar to that of the inlet tower, may also be provided. The purge gas can be dried by exposure to a desiccant, heated to temperatures between about 100° C. and about 120° C., and can be tested for baseline constituent levels prior to or after introduction into a SMIF pod.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: April 9, 2002
    Assignee: Semifab Incorporated
    Inventors: Glenn A. Roberson, Jr., Robert M. Genco, Robert B. Eglinton, Wayland Comer, Gregory K. Mundt
  • Patent number: 6364599
    Abstract: A handling robot comprises a first and a second robotic link mechanism (B1, B2) so configured as to be jointly rotatable. Each mechanism has a transfer table (8a, 8b) at its forward end and is adapted to operatively be projected and retracted in a radial direction with respect to the transfer table when operatively extended and contracted. The first and second robotic mechanisms are arranged so that the two transfer tables may located within a narrow angular range.
    Type: Grant
    Filed: September 10, 1998
    Date of Patent: April 2, 2002
    Assignee: Komatsu Ltd.
    Inventors: Tatsunori Suwa, Kazuhiro Hatake, Shunsuke Sugimura
  • Patent number: 6361648
    Abstract: A transfer station and concomitant method for a chemical mechanical polishing system comprising a pair of buffer stations and a transport robot. The buffer stations and the ability to transport two wafers simultaneously with the transfer robot minimizes the exchange time for loading and unloading wafers into the chemical mechanical polishing system. The lowering of the exchange time improves the number of wafers processed per hour by the chemical mechanical polishing system. The transfer robot utilizes wafer edge grip features, adjustable wafer gripper force, minimum wafer contact points, fluid media for wafer present sensing, and mechanical interlocking mechanisms to protect both the wafer and the transfer station.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: March 26, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Jim Tobin
  • Publication number: 20020029936
    Abstract: A robot assembly including multiple independently operable robot assemblies are provided for use in semiconductor wafer processing. The robot assembly includes independent co-axial upper and lower robot assemblies adapted to handle multiple objects. The upper robot is stacked above the lower robot and the two robots are mounted concentrically to allow fast wafer transfer. Concentric drive mechanisms may also be provided for imparting rotary motion to either rotate the robot assembly or extend an extendable arm assembly into an adjacent chamber. Each robot can be either a single blade robot or a dual blade robot. Also provided is an apparatus for processing semiconductor wafers comprising a pre/post process transfer chamber housing multiple independent robot assemblies and surrounded by a plurality of pre-process chambers and post process chambers. Within each process, pre-process and post-process chamber is an apparatus for holding a plurality of stacked wafers.
    Type: Application
    Filed: July 17, 2001
    Publication date: March 14, 2002
    Inventors: William McClintock, Robert B. Lowrance, Howard Grunes
  • Publication number: 20020017237
    Abstract: A system for processing a workpiece includes a base having a bowl or recess for holding a liquid. A process reactor or head holds a workpiece between an upper rotor and a lower rotor. A head lifter lowers the head holding the workpiece into contact with the liquid. The head spins the workpiece during or after contact with the liquid. The upper and lower rotors have side openings for loading and unloading a workpiece into the head. The rotors are axially moveable to align the side openings.
    Type: Application
    Filed: July 16, 2001
    Publication date: February 14, 2002
    Applicant: Semitool, Inc.
    Inventors: Paul Z. Wirth, Steven L. Peace
  • Patent number: 6343905
    Abstract: A substrate lift mechanism includes a plurality of fingers mounted on a hoop controlled by an actuator to transfer a substrate, such as a wafer or a flat panel display, from an edge grip arm to a chuck on a processing tool, such as integrated metrology tools. The substrate lift mechanism includes a base that is configured to be mounted to existing processing tools thereby allowing the processing tools to be adapted to be operable with new edge grip arms. The plurality of fingers are positioned to permit an edge grip arm to pass between two of the fingers and are configured to gravitationally support the substrate. Advantageously, the base of the substrate lift mechanism has a small footprint to minimize the space requirements of the processing tool to which it is mounted.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: February 5, 2002
    Assignee: Nanometrics Incorporated
    Inventors: Joseph T. Adams, Robert S. Kearns
  • Patent number: 6340933
    Abstract: A pod for transporting a cassette containing semiconductor wafers includes a base and a cover removably carried on the base for protectively enclosing the cassette. A latching mechanism for latching the cover on the base is provided with an electrical sensor that senses when the cover is properly latched on the base and controls an electrical light on the base to provide a visual indication of latch status.
    Type: Grant
    Filed: November 29, 1999
    Date of Patent: January 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Yi-Jen Chen, Guey-Shyung Cho
  • Patent number: 6338582
    Abstract: In an interface section, a transfer device is disposed to face a second cooling processing unit group in a processing station, and a thermal processing unit group in which thermal processing units are multi-tiered is disposed on one side and a peripheral aligner, a buffer cassette, and a wafer holding section which are vertically tiered from the top in order are disposed on the other side so that the transfer device is put between them. A secondary transfer body is disposed between the wafer holding section and an aligner, and a wafer is carried into/out of an in-stage and an out-stage in the aligner by means of this secondary transfer body. Thus, a period of time until the wafer W which has undergone exposure undergoes heat processing can be precisely managed, thereby enabling the formation of uniform line width.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: January 15, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Issei Ueda
  • Publication number: 20010053617
    Abstract: The occurrence of defects of a semiconductor device at the time of transportation is prevented by the present invention relates to a transportation method for a semiconductor device which uses, for transportation of a semiconductor device from the departure point to the arrival point, the transportation route B1+B2 wherein the cosmic ray concentration is the smallest among a plurality of transportation routes A and B1+B2 from the departure point to the arrival point.
    Type: Application
    Filed: March 6, 2001
    Publication date: December 20, 2001
    Inventor: Hiroo Shoji
  • Patent number: 6331023
    Abstract: A transport assembly that supports a substrate and controllably moves the substrate within a semiconductor processing system in a manner that reduces the likelihood of the substrate being damaged. The assembly includes a spatula having an uneven upper surface that contacts the substrate. The spatula upper surface includes a plurality of protrusions that form peaks and a plurality of valleys between the peaks. Each of the peaks contacts the lower surface of the substrate so as to distribute the pressure exerted by the spatula on the substrate. A network of channels is created between the lower surface of the substrate and the valleys of the spatula that enables gas to readily flow therethrough. The channels extend to openings along the sides of the spatula to communicate the channels with neighboring space. Entrapped pockets of heated gas are inhibited from forming underneath the substrate, and the substrate can be easily lifted off of the spatula.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: December 18, 2001
    Assignee: ASM America, Inc.
    Inventors: Dennis Goodwin, Gerben O. Vrijburg
  • Patent number: 6331095
    Abstract: Two pairs of lifters 9A and two pairs of lifters 9B are arranged on a table 7 in a processing chamber 2. In a transporting chamber 3 communicating with the processing chamber 2, a transporting mechanism 10 of an articulated robot is provided with a catch plate 10E in a single stage arrangement. The elevation of a rotating spindle 10A of the transporting mechanism 10 allows the catch plate 10E to be moved up and down. By rising and falling the catch plate 10E while stopping the lifters 9A, 9B, it is possible to transfer a substrate between the catch plate 10E and the lifters 9A or between the catch plate 10E and the lifters 9B.
    Type: Grant
    Filed: April 2, 1999
    Date of Patent: December 18, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 6325856
    Abstract: A vacuum treatment system has an outer housing which defines a substantially cylindrical inner wall around an axis. At least two openings are provided for treating or conveying-through a respective workpiece arranged along at least one great circle of the cylindrical inner wall. One treatment, conveying or lock chamber respectively, is connected with the at least two openings. An inner housing defines a cylindrical outer wall and, together with the substantially cylindrical inner wall, forms a substantially cylindrical ring gap. A workpiece carrier carousel is rotationally drivable about the axis in the ring gap. A feed device comprising driving devices is movable in a radially driven manner on the inner housing and is aligned with the at least two openings. The driving devices act into the ring gap, and each of the driving devices has a separate drive.
    Type: Grant
    Filed: June 23, 1999
    Date of Patent: December 4, 2001
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6320402
    Abstract: A system for inspecting semiconductor wafers of at least one lot of semiconductor wafers for proper integrated circuit fabrication includes multiple different inspection stations. Each of the different inspection stations inspects a respective integrated circuit fabrication feature of a semiconductor wafer. In addition, a semiconductor wafer robotic handling system, that is coupled to each of the inspection stations, transfers the semiconductor wafers between the inspection stations. Furthermore, a host server is coupled to the inspection stations and the semiconductor wafer robotic handling system. The host server controls the semiconductor wafer robotic handling system to transfer a predetermined one of the semiconductor wafers to a predetermined one of the inspection stations at a predetermined time.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: November 20, 2001
    Inventors: Khoi A. Phan, Bernard Matt, Nicholas R. Maccrae
  • Patent number: 6319297
    Abstract: Modular cartridges which may be inserted into and removed from a well or receptacle on the bottom of a pod. The cartridges may be configured to include various types and combinations of valves, filters, and/or conditioning agents. A standalone cartridge replacement station may be provided for inserting and removing various cartridges from one or more of the receptacles on the bottom of a pod while wafers are seated within the pod. The standalone replacement unit may decouple an existing cartridge from the pod by rotating the cartridge from a locked to an unlocked position and then lowering the cartridge out of the pod. Thereafter, the replacement station may insert a new cartridge up into the appropriate receptacle and rotate the cartridge into a locked position in the pod.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: November 20, 2001
    Assignee: Asyst Technologies, Inc.
    Inventor: William J. Fosnight
  • Patent number: 6318953
    Abstract: An ergonomic loading assembly for an I/O port onto which a bare cassette may be easily loaded and unloaded. The loading assembly further provides isolation between the operator and the I/O port after loading of a cassette to minimize safety risks and to minimize the amount of particulates and contaminants around the workpieces while on the port. In a preferred embodiment, the loading assembly includes a cover assembly having a stationary cover section around the port plate, and two pivoting cover sections which open and close like jaws to allow a cassette to positioned within the cover assembly when opened and which enclose the cassette within the cover assembly when closed. The loading assembly further includes a pivoting deck onto which the cassette is loaded when the pivoting cover sections are open. The deck receives the cassette with the workpieces oriented at or near vertical.
    Type: Grant
    Filed: July 12, 1999
    Date of Patent: November 20, 2001
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Robert Netsch, Patrick Sullivan, William J. Fosnight, Joshua Shenk, Edwin Noma
  • Patent number: 6318951
    Abstract: An improved conveyor system for transporting a microelectronic workpiece within a processing tool is set forth. The conveyor system includes a transport unit slidably guided on a conveyor rail for transporting and manipulating the workpieces. The transport unit includes a vertical member which is connected to a base end of a two section robot arm. The robot arm includes an end effector at a distal end thereof which is actuated to grip a surrounding edge of a workpiece. A first rotary actuator is arranged to rotate the vertical member about its axis to rotate the entire robot arm. A second rotary actuator is positioned to rotate the second section of the robot arm, via a belt, with respect to the first section of the robot arm. A third rotary actuator is arranged to rotate the end effector about its horizontal axis. The third rotary actuator permits the end effector to flip the microelectronic workpiece between a face up and a face down orientation.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: November 20, 2001
    Assignee: Semitool, Inc.
    Inventors: Wayne J. Schmidt, Thomas H. Oberlitner
  • Patent number: 6316849
    Abstract: Method and apparatus are provided for selectively tailoring electromagnetic fields, and in particular for providing rapidly vanishing magnetic far-fields for magnet arrays, coils and the like. The method involves selectively combining magnetic, coil or other arrays having fields that vanish as 1/rl to achieve and array having a selectively increase l value. Various techniques for achieving such combinations in one, two or three dimensions, and the arrays resulting from such combination are disclosed.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: November 13, 2001
    Inventors: Paul Konkola, David L. Trumper
  • Patent number: 6315512
    Abstract: A workpiece handling system with dual load locks, a transport chamber and a process chamber. Workpieces may be retrieved from one load lock for processing at vacuum pressure, while workpieces are unloaded from the other load lock at the pressure of the surrounding envirornment. The transport chamber has a transport robot with two arms. Processed workpieces and new workpieces may be exchanged by a simple under/over motion of the two robot arms. The transport robot rotates about a central shaft to align with the load locks or the process chamber. The robot may also be raised or lowered to align the arms with the desired location to which workpieces are deposited or from which workpieces are retrieved. The two load locks may be positioned one above the other such that a simple vertical motion of the robot can be used to select between the two load locks. The two load locks and transport robot allow almost continuous processing.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: November 13, 2001
    Assignee: Mattson Technology, Inc.
    Inventors: Farzad Tabrizi, Barry Kitazumi, David A. Barker, David A. Setton, Leszek Niewmierzycki, Michael J. Kuhlman
  • Patent number: 6309163
    Abstract: The present invention provides a wafer positioning device having wafer storage capability. The wafer positioning device has a wafer platform with wafer lift pins, a wafer position sensor, and a storage location in close proximity to the wafer platform and the wafer position sensor. The storage location may be above the wafer position sensor, in which case the wafer position sensor retracts or rotates so that the wafer lift pins may elevate a positioned wafer past the position sensor to the storage location. Alternatively, the storage location may be between the wafer platform and the wafer positioning device. The storage location is preferably formed by a plurality of rotatable towers or a plurality of retractable lift pins that are operatively coupled to the wafer platform and that have wafer support portions capable of assuming both a wafer storage position and a wafer passage position.
    Type: Grant
    Filed: October 30, 1997
    Date of Patent: October 30, 2001
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Nering
  • Patent number: 6305500
    Abstract: A material delivery system is disclosed which is particularly useful for filtered environments, such as clean rooms, minienvironments, or the like. In one embodiment, the material delivery system is disposed below a work area in a clean room-like environment to store parts to be used at the work area. In another embodiment, the material delivery system includes a material delivery elevator for transporting parts to a desired elevational level. In yet another embodiment, the material delivery system includes a cover which is detachably interconnected with a body to define an enclosed material storage area. An assembly is provided to lock the cover down onto the body with a gasket therebetween to appropriately seal this interconnection. This same assembly lifts the cover up and away from the body so as to not damage this gasket.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: October 23, 2001
    Assignee: Maxtor Corporation
    Inventors: John D. McCrandall, Tom McMunigal, Richard E. Rupp, Jr.
  • Patent number: 6303939
    Abstract: A semiconductor wafer cassette mapper. A photo-detecting array is used as a receiver. During a mapping process, an accurate and complex driving system is not necessary. The invention provides a semiconductor wafer cassette mapper. A strip light source is used as an emitter and a photo-detecting array is used as a receiver for a semiconductor wafer cassette mapper. During the mapping process, the strip light source, the photo-detecting array and the wafer cassette do not need to move for scanning. The receiver obtains an information about all wafers in the wafer cassette by receiving all signals at one time, so that a mapping time is reduced. The invention provides another semiconductor wafer cassette mapper. A parallel scanning light source serves as an emitter and a photo-detecting array serves as a receiver of semiconductor wafer cassette mapper. During the mapping process, the parallel scanning light source moves quickly to scan the wafers in the wafer cassette. The method can reduce the mapping time.
    Type: Grant
    Filed: July 2, 1999
    Date of Patent: October 16, 2001
    Assignee: United Microelectronics, Corp.
    Inventors: Ping-Chung Chung, Tsung-Lin Lu
  • Patent number: 6301797
    Abstract: A method and apparatus for data disks adapted to compensate for effects of shock loads imparted to a disk drive which are of sufficient magnitude to cause disk shifts. A series of topographical features are formed on the disk surface or edge to cause signal fluctuation in a sensor during read-back mode operation. An initial signal profile is stored as a map indicative of track or disk alignment with respect to the actuator assembly. Selectively, such as after a known shock event, the topographical features are re-profiled. A comparison of the profiles provides a measure of any track distortion which must be compensated for in future read-write operations by adjustments to data track servo follower algorithms.
    Type: Grant
    Filed: June 4, 1999
    Date of Patent: October 16, 2001
    Assignee: Seagate Technology LLC
    Inventors: Ramesh Sundaram, Wei Yao, Li-Ping Wang, David Shiao-Min Kuo
  • Patent number: 6299363
    Abstract: A processing station, an interface station, and an aligner are connected in this order to a cassette station in which a wafer cassette is carried in and out. In the processing station, shelf units on the cassette station side, processing sections including an antireflection film forming unit, a coating unit, and a developing unit on the interface station side, and wafer transfer means between the shelf units and the processing sections are all arranged parallel to the direction of arrangement of cassettes. In such a layout, processing sections can be added without changing the length from the cassette station of the processing station.
    Type: Grant
    Filed: July 3, 2000
    Date of Patent: October 9, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Issei Ueda
  • Patent number: 6299404
    Abstract: A substrate processing apparatus having a supply of substrates, a substrate transport module, and a substrate processing module. The transport module has a movable arm assembly and two substrate holders mounted to the movable arm assembly. The substrate holders each have two separate holding areas for simultaneously holding two substrates. The movable arm assembly has two pairs of driven arms. Each pair of driven arms is connected to a separate one of the holders for extending and retracting the holders along a radial path relative to a center of the movable arm assembly.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: October 9, 2001
    Assignee: Brooks Automation Inc.
    Inventors: Richard S. Muka, James C. Davis, Jr., Christopher A. Hofmeister
  • Patent number: 6296735
    Abstract: An apparatus for plasma treating workpieces in vacuum comprises a stack of plasma chambers (20). Handling of workpieces to and from the plasma chambers of the stack is performed in parellelism by one handling device and through lateral handling openings of the plasma chambers. The handling device is rotatable around an axis parallel to the handling openings of the plasma chambers and comprises transport means simultaneously movable radially with respect to the axis of rotation towards and from the handling openings.
    Type: Grant
    Filed: October 23, 1998
    Date of Patent: October 2, 2001
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Alexander Marxer, Andreas Meyerhans, Fredy Zuend
  • Patent number: 6287386
    Abstract: The present invention generally provides a rotary wafer carousel and related wafer handler for moving wafers or other workpieces through a processing system, i.e., a semiconductor fabrication tool. Generally, the present invention includes a rotary wafer carousel having a plurality of wafer seats disposed thereon to support one or more wafers. The rotary carousel is preferably disposed through the lid in a transfer chamber opposite the robot which is preferably disposed through the bottom of the transfer chamber. The rotary carousel and the robot cooperate to locate wafers adjacent to process chambers and move wafers into and out of various chambers of the system. The invention improves the throughput of the system by positioning wafers adjacent to the appropriate chamber to reduce the amount of movement required of the robot for transporting wafers between chambers.
    Type: Grant
    Filed: June 12, 1999
    Date of Patent: September 11, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Alexey Goder, Eugene Gantvarg
  • Patent number: RE37731
    Abstract: A two-armed transfer robot includes a pair of double-pantograph mechanisms. These mechanisms are substantially symmetrical to each other with a vertical plane. Each double-pantograph mechanism includes a first pantograph assembly and a second pantograph assembly. The second pantograph assembly supports a handling member for carrying a workpiece to be processed. The second pantograph assembly is offset away from the vertical plane with respect to the first pantograph assembly. A rotation-transmitting mechanism is provided between the first and the second pantograph assemblies of each double-pantograph mechanism. Thus, the two pantograph assemblies of each double-pantograph mechanism are actuated in cooperation for linearly moving the handling member. The handling members of the respective double-pantograph mechanisms are vertically spaced from each other. The handling members are arranged not only to move linearly but to rotate simultaneously around a vertical axis.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: June 11, 2002
    Assignee: Daihen Corporation
    Inventors: Hironori Ogawa, Hirokazu Yoda