Associated With Semiconductor Wafer Handling Patents (Class 414/935)
  • Patent number: 6553280
    Abstract: In a first aspect, a valve/sensor assembly is provided that includes a door assembly. The door assembly has (1) a first position adapted to seal an opening of a chamber; (2) a second position adapted to allow at least a blade of a substrate handler to extend through the opening of the chamber; and (3) a mounting mechanism adapted to couple the door assembly to the chamber. The valve/sensor assembly also includes a sensor system having a transmitter and a receiver adapted to detect a presence of a substrate and to communicate through at least a portion of the door assembly. Systems, methods and computer program products are provided in accordance with this and other aspects.
    Type: Grant
    Filed: June 30, 2001
    Date of Patent: April 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Brian Johnson, Edward Ng, Justin Mauck, Edward R. Dykes, Joseph Arthur Kraus
  • Patent number: 6547660
    Abstract: A semiconductor manufacturing facility is provided, which can reduce a thermal load in a clean room and reduce an amount of energy thereof. Semiconductor manufacturing equipment, which generates heat when it is used, is installed in the clean room and is covered by a housing. The housing is configured to be capable of introducing the air inside the clean room into an interior thereof. The air inside the housing is exhausted outside the clean room through a plurality of exhaust passage members. A heat insulating material is associated with the housing to reduce the release of heat from the housing to the air inside the clean room. A space between the housing and the semiconductor manufacturing equipment may be hermetically sealed, and an air introducing member may be connected to the housing to take air outside the clean room into the hermetically sealed space.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: April 15, 2003
    Assignees: Tokyo Electron Limited, Taisei Corporation
    Inventors: Osamu Suenaga, Tadahiro Ohmi, Sadao Kobayashi
  • Publication number: 20030068219
    Abstract: A wafer processing apparatus is provided with a wafer carrier comprising a doorplate, a pedestal including one or more legs to support the pedestal on the doorplate, and a wafer rack positionable on the pedestal. A pedestal lock is connected to the doorplate and is selectively engageable with at least one of the legs to lock the pedestal to the doorplate. A lock is further provided to selectively engage at least one of the wafer rack and the pedestal to lock the wafer rack to the pedestal. The pedestal is thereby prevented from falling off of the doorplate, and the wafer rack is prevented from falling off of the pedestal, during earthquake-induced vibrations and accelerations.
    Type: Application
    Filed: October 10, 2001
    Publication date: April 10, 2003
    Inventors: Klaas Peter Boonstra, Christianus Gerardus Maria de Ridder
  • Publication number: 20030057089
    Abstract: A first disk carrier constructed in accordance with the invention is substantially circular, and has a size and shape such that it can be placed in an opening in a second, larger disk carrier. In one embodiment, the second, large disk carrier is a conventional disk carrier, e.g. used to hold a substrate during a magnetic disk manufacturing process. The first disk carrier is circular, and has a diameter equal (or substantially equal) to common substrates currently being manufactured. Therefore, the first disk carrier fits in and can be held by the second disk carrier. The first disk carrier has one or more openings for holding one or more substrates that have a diameter substantially less than the diameter of the opening of the second disk carrier.
    Type: Application
    Filed: March 17, 2001
    Publication date: March 27, 2003
    Inventor: Tin Nguyen
  • Publication number: 20030056814
    Abstract: A process system for processing a semiconductor wafer or other similar flat workpiece has a head including a workpiece holder. A motor in the head spins the workpiece. A head lifter lowers the head to move the workpiece into a bath of liquid in a bowl. Sonic energy is introduced into the liquid and travels through the liquid to the workpiece, to assist in processing. The head is lifted to bring the workpiece to a rinse position. The bath liquid is drained. The workpiece is rinsed via radial spray nozzles in the base. The head is lifted to a dry position. A reciprocating swing arm sprays a drying fluid onto the bottom surface of the spinning wafer, to dry the wafer.
    Type: Application
    Filed: July 19, 2002
    Publication date: March 27, 2003
    Applicant: Semitool, Inc.
    Inventors: Jon Kuntz, Steven Peace, Ed Derks, Brian Aegerter
  • Patent number: 6536608
    Abstract: A vertical ceramic wafer boat for supporting a silicon wafer having a predetermined radius “R”. The wafer boat comprises a base portion and a column rack, which extends generally vertically upwards from the base portion. The column rack includes a pair of vertical column rack supports extending generally vertically upwards from the base portion. The column rack also includes a plurality of wafer supports having a generally Y shaped cross section. The wafer supports extend substantially horizontally from the column rack supports to define a plurality of slots within the column rack sized to receive the wafer.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: March 25, 2003
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventor: Richard Buckley
  • Patent number: 6536136
    Abstract: To provide a substrate transfer apparatus capable of forming a hermetically-closed space integrated between a normal substrate processing apparatus which is not integrated with a substrate transfer unit and a substrate transfer container, the substrate transfer apparatus includes a main body in a box-like shape containing a substrate W, an upper opening (first opening) provided at the main body and connected to a bottom opening (substrate transfer port) of a container while maintaining an air tight state against outside air, a side wall opening (second opening) provided at the main body and connected to a substrate transfer port of the transfer processing apparatus while maintaining the air tight state against outside air, an exhaust pipe connected to the main body, an opening/closing mechanism for opening and closing a bottom lid relative to the bottom opening in a state in which the upper opening and the bottom opening of the container are connected and transfer mechanisms installed in the main body for tra
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: March 25, 2003
    Assignee: Sony Corporation
    Inventor: Koichiro Saga
  • Patent number: 6537012
    Abstract: The vacuum processing apparatus has an atmospheric loader having a plurality of cassette tables and a transport unit for carrying wafers, a vacuum loader equipped with vacuum wafer-processing chambers and a vacuum transport chamber communicating with the processing chambers via gate valves, and a locking unit provided with a loading lock chamber and unloading lock chambers that have gate valves for connecting the atmospheric transport unit and vacuum transport chamber; wherein two etching chambers, formed by UHF-ECR reactors, are arranged symmetrically with respect to an axial line passing through the middle of the vacuum transport chamber and locking unit, only at the opposite side of the locking unit across the vacuum transport chamber, and at an acute angle with respect to the vacuum transport chamber, and UHF-ECR antennas, almost parallel to the foregoing axial line, are opened at the opposite side to that of the vacuum transport chamber.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: March 25, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Mitsuru Suehiro, Kazue Takahashi, Hideyuki Yamamoto, Katsuya Watanabe
  • Patent number: 6533534
    Abstract: For increasing the rate with which a workpiece is treated in a plasma enhanced chemical vapor deposition method and thereby lowering for coating treatment exposure of the coating to ion impact, there is maintained a non-vanishing dust particle density along the surface to be treated with a predetermined density distribution along this surface. The density distribution may be controlled by appropriately applying a field of force substantially in parallelism to the surface to be treated and acting on the dust particles entrapped in the plasma discharge.
    Type: Grant
    Filed: August 24, 1999
    Date of Patent: March 18, 2003
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Jacques Schmitt, Paul-René Muralt
  • Patent number: 6533531
    Abstract: A wafer handling device includes a platform having a plurality of movable grippers. A driver is mounted on the platform and selectively couples to at least one of the plurality of grippers to selectively move at least one of the plurality of grippers with regard to the platform. The driver may be magnetically coupled to at least one gripper to move it between a first proximal position and a first distal position. The driver may be mounted on the platform with a track assembly that effectuates a linear magnetic propulsion field to move the driver between the first axial position and the second axial position.
    Type: Grant
    Filed: December 29, 1998
    Date of Patent: March 18, 2003
    Assignee: ASML US, Inc.
    Inventors: Binh Quoc Nguyen, Alton Phillips
  • Patent number: 6532642
    Abstract: A silicon carbide rail for use as a support in an apparatus for holding semiconductor wafers is made by forming a first series of parallel slots or grooves into the front side of a graphite plate usually without piercing the back side of the plate, converting the slotted graphite plate into a slotted silicon carbide plate, and forming a second series of parallel slots into the back side of the slotted silicon carbide plate such that each slot on the back side connects with a corresponding slot on the front side. The width of each back side slot is less than that of the corresponding front side slot, thereby forming rail teeth having a ledge running along their to top surfaces.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: March 18, 2003
    Assignee: Union Oil Company of California
    Inventor: Larry S. Wingo
  • Patent number: 6530733
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: March 11, 2003
    Assignee: Nexx Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo
  • Patent number: 6530993
    Abstract: A cluster tool for fabricating a semiconductor device includes: a transfer chamber having a wafer handling robot; a plurality of process chambers installed adjacent to each wall face of the transfer chamber; a loadlock chamber installed adjacent to different wall faces of the transfer chamber, in which a cassette is positioned to bring in and take out a wafer; and a cooling chamber installed at one side of a different wall face of the transfer chamber with an open-and-shut unit therebetween, the cooling chamber being provided with a wafer multiple-mounting unit having a plurality of wafer mounting plates for simultaneously mounting wafers which finishes undergoing processes in the process chamber and cooling them.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: March 11, 2003
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Chul Ju Hwang, Sung Weon Lee
  • Patent number: 6531793
    Abstract: A positioning device comprising a first part (1) which is movable relatively to a second part (2) in an X-direction and a Y-direction, said first part (1) comprising a carrier (5) on which a system of magnets (3) is arranged according to a pattern of rows (7) and columns (8) extending parallel to the X-direction and the Y-direction, respectively. The magnets in each row and column are arranged according to a Halbach array, i.e. the magnetic orientation of successive magnets in each row (7) and each column (8) rotates 90° counter-clockwise. The second part (2) comprises an electric coil system (4) with two types of electric coils (C1, C2), one type having an angular offset of +45°, and the other type having an offset of −45° with respect to the X-direction. The magnet configuration causes a very strong magnetic field.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: March 11, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Petrus C. M. Frissen, Johan C. Compter, Antonius T. A. Peijnenburg, Erik R. Loopstra
  • Patent number: 6530157
    Abstract: The invention is a multiple size workpiece positioning device for the precise positioning or centering of workpieces, such as semiconductor wafers, in a processing station. The inventive includes a plurality of ring bars that may be pivoted on one end. The distal end of the ring bars form a circular shape that may decrease or increase in diameter as the ring bars are pivoted. This movement may be used to position or center the workpiece. A single combination pin or two pins of different heights may be mounted on the distal end of the ring bars. A combination pin or a rest pin and an alignment pin may be used to support the bottom of the workpiece to provide a contact point for an urging force on the edge of the workpiece.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: March 11, 2003
    Assignee: Process Integration
    Inventors: Robert D. Henderson, Matthew J. Gossett, Dennis Turensky
  • Patent number: 6530732
    Abstract: A load lock and related method of handling a substrate involves placing a substrate onto a vertically movable poppet and moving the poppet between two vertically opposed subchambers such that in moving the poppet toward one of the subchambers, that subchamber is sealed to atmosphere. The two subchamber system allows one substrate to be placed into a buffer and another substrate to be cooled at the same time. Also, the system allows for a slow vacuum to be made on the substrate in a subchamber to avoid undesirably loading the substrate by the otherwise immediate drop in pressure.
    Type: Grant
    Filed: May 26, 1998
    Date of Patent: March 11, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Victor J. Theriault, Mark Ives
  • Publication number: 20030044268
    Abstract: The present invention is a unified spine structure that EFEM components, such as a wafer handling robot and a SMIF pod advance assembly, may mount to. The frame includes multiple vertical struts that are mounted to an upper support member and a lower support member. Structurally tying the vertical struts to the support members creates a rigid body to support the EFEM components. The vertical struts also provide a common reference that the EFEM components may align with. This eliminates the need for each EFEM component to align with respect to each other. Thus, if one EFEM component is removed it will not affect the alignment and calibration of the remaining secured EFEM components. The unified frame also creates an isolated storage area for the SMIF pod door and the port door within the environment that is isolated from the outside ambient conditions.
    Type: Application
    Filed: March 1, 2002
    Publication date: March 6, 2003
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Publication number: 20030044742
    Abstract: A positive pressure gradient is maintained across an open access port of an interface chamber such as a load lock chamber which provides an interface between a low pressure chamber such as a transfer or buffer chamber, and a high pressure area such as a staging area or factory interface area. When the access port of the interface chamber is open to the high-pressure area, the positive pressure gradient may be used in some applications to inhibit the flow of gasses from the high-pressure area into the interior of the interface chamber.
    Type: Application
    Filed: August 27, 2002
    Publication date: March 6, 2003
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hougong Wang, Zheng Xu, Kenny King-Tai Ngan
  • Patent number: 6528435
    Abstract: An apparatus and method for depositing a thin film on a semiconductor substrate. The apparatus includes a chamber or housing suited for holding a plurality of wafer platforms. The wafer platforms are arranged stacked in the chamber equidistant and electrically isolated from each other wafer platform. At least two of the plurality of wafer platforms are electrically coupled to a power source to form a first electrode and a second electrode. The remainder of the plurality of wafer platforms are disposed therebetween. In this manner, the first electrode and the second electrode form a single series capacitor. At least one reactant gas is provided in the chamber and reacted with sufficiently supplied energy to form a plasma. Radicals or ions from the plasma react on the surface of the wafers to cause a thin film layer to be distributed on the equally dispersed wafers positioned on a surface of the wafer platforms.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: March 4, 2003
    Assignee: WaferMasters, Inc.
    Inventor: Woo Sik Yoo
  • Patent number: 6524051
    Abstract: The present invention provides a wafer positioning device having wafer storage capability. The wafer positioning device has a wafer platform with wafer lift pins, a wafer position sensor, and a storage location in close proximity to the wafer platform and the wafer position sensor. The storage location may be above the wafer position sensor, in which case the wafer position sensor retracts or rotates so that the wafer lift pins may elevate a positioned wafer past the position sensor to the storage location. Alternatively, the storage location may be between the wafer platform and the wafer positioning device. The storage location is preferably formed by a plurality of rotatable towers or a plurality of retractable lift pins that are operatively coupled to the wafer platform and that have wafer support portions capable of assuming both a wafer storage position and a wafer passage position.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: February 25, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Nering
  • Patent number: 6519502
    Abstract: An apparatus and a method for positioning a cassette pod onto a loadport of a process machine by an overhead hoist transport system are disclosed. The apparatus consists of four sensor plates each equipped with a connecting rod, a compressible spring and a vibration sensor. The apparatus further includes a concave mirror mounted on a bottom surface of a cassette pod and a light source and a photosensor mounted at a center location on the loadport. The apparatus further includes a process controller for receiving a signal from the photosensor indicating an incorrect position of the cassette pod and then sending a second signal to the OHT system such that the position of the OHT can be corrected and calibrated accordingly to position the cassette pod on the loadport in an accurate manner.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: February 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Albert Chao
  • Patent number: 6516266
    Abstract: Systems and methods are disclosed which reduce the occurrence of defects in semiconductor devices by selecting transportation routes for the semiconductor devices which reduce or minimize the amount of cosmic ray radiation to which the semiconductor devices are subjected during transportation. Cosmic radiation exposure is determined for a plurality of transportation routes and a route is selected which minimizes or reduces the radiation to which semiconductor devices are exposed during transportation.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: February 4, 2003
    Assignee: Sony Corporation
    Inventor: Hiroo Shoji
  • Publication number: 20030017032
    Abstract: The present invention relates to a flexible tool for handling small objects, as well as a method for handling small objects using the flexible tool. The flexible tool comprises one or more mini robots, such as a free arm robot and one or more hexapod(s) working in an internal workspace when performing operations on small objects. Furthermore, an external space can be used for storing the objects during non-operation. The hexapods are adapted to engage with a small object and to move a small object between the internal workspace and the external space, and the free arm robot is adapted to move one or more hexapod(s). Fast measurements of robot positions are included, the measurements being feed back to a computer system controlling the mini robots. The computer system further comprises vision and motion planning.
    Type: Application
    Filed: June 28, 2002
    Publication date: January 23, 2003
    Inventors: Tom Olesen, Soren Olesen, Henrik Andersen, Preben Damgard Jensen
  • Publication number: 20030017629
    Abstract: There is disclosed an apparatus for supporting singulated electronic devices during a testing operation, comprising: a main body and a support member, wherein said support member is made of non-conducting high-resistivity material and comprises a plurality of recesses, each said recess being adapted to receive an individual singulated device. There is also disclosed a method for testing such devices in which the devices are carried on support members through a testing process including one or more environmental control chambers.
    Type: Application
    Filed: July 23, 2001
    Publication date: January 23, 2003
    Inventors: Ching Man Stanley Tsui, Eric Chow, Curito M. Bilan
  • Publication number: 20030012628
    Abstract: An interlockable film frame carrier has central and peripheral portions for securely storing a film frame, the film frame supporting a film, a semiconductor wafer disposed on the film. The central portion has structure for supporting the semiconductor wafer and for allowing access to a lower surface of the stored film frame. The peripheral portion of the film frame carrier includes structure for supporting a peripheral portion of the film frame and matable stacking members. When the film frame is stored, two film frame carriers are interlocked by mating the stacking members. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 16, 2003
    Applicant: Entegris, Inc.
    Inventors: James Nigg, Michael Zabka
  • Patent number: 6503365
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: January 26, 1999
    Date of Patent: January 7, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6500261
    Abstract: A method and an apparatus for preventing the misplacement of a cassette pod on the loadport of a process machine are described. In the method, a cassette pod that is equipped with an identification tag on a front panel is first provided, a process machine that is equipped with a loadport for receiving the cassette pod is then provided, at least one position verification block is mounted on the loadport at a first preset position, and then at least one position verification finger is mounted on the front panel of the cassette pod corresponding to the first preset position of the at least one position verification block such that when the cassette pod is placed on the loadport, the at least one position verification finger does not interfere with the at least one position verification block.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: December 31, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Jun-Bo Chen, Kuo-Chen Lin, Chi-Pong Chiang
  • Patent number: 6499367
    Abstract: The invention has an object to provide a substrate detecting device which is capable of detecting the presence of a substrate and the existence of the substrate's off-position by a low number of substrate sensing parts. In the substrate detecting device for detecting both presence and off-position of the substantially circular substrate W mounted on a substrate mounting part 26, the device includes the plural substrate sensing parts 50, 52 arranged somewhat inward from the outer peripheral edge of the substrate mounted on the substrate mounting part and also arranged at substantially regular intervals along the circumferential direction of the substrate and a judgement controlling unit 40 for judging the presence of the substrate and the existence of the off-position on the ground of respective outputs from the plural substrate sensing parts. Consequently, the presence of the substrate and the existence of the off-position in excess of an allowance can be detected by e.g. three sensing parts.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: December 31, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Hiroaki Saeki
  • Patent number: 6499777
    Abstract: An end-effector with integrated cooling features comprises heat transferring mechanisms that transfer heat energy away from the end-effector. The end-effector advantageously minimizes the cooling overhead of a processed substrate as it is transported from a process module to a low-cost storage cassette. The reduced cooling overhead of the processed substrate, as a consequence, improves substrate throughput. In the preferred embodiments, the heat transferring mechanisms include a high surface area heat sink connecting the substrate-supporting paddle with a robot arm. Cooling fins can enhance surface area and thus enhance heat dissipation from the heat sink. Cooling channels can extend through paddle and heat sink, either containing circulating fluid for carrying heat beyond the end-effector or a phase changing material in an enclosed heat pipe.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: December 31, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventor: Albert Wang
  • Publication number: 20020195313
    Abstract: A configuration having two drive shafts, a drive system 4 connected to one end of each drive shaft for rotationally driving the respective drive shaft, and a holding and transfer mechanism 5, 6 connected to the other end and having a holding device 5a, 6a for holding an IC, each holding and transfer mechanism 5, 6 being able to operate independently.
    Type: Application
    Filed: February 5, 2002
    Publication date: December 26, 2002
    Inventors: Hiroaki Fujimori, Masami Maeda
  • Publication number: 20020197145
    Abstract: A substrate processing apparatus includes a substrate holder for holding a plurality of wafers and being loaded therewith into a process tube through an opening in the process tube, in which a plurality of the wafers are processed, a wafer transfer system for charging a plurality of the wafers to the substrate holder, a boat waiting chamber installed on a line passing through the opening in the process tube and substantially hermetically accommodating the substrate holder before and after the substrate holder is loaded into and unloaded from the process tube and a wafer transfer chamber for substantially hermetically accommodating the wafer transfer system. An oxygen concentration of the boat waiting chamber is different from that of the wafer transfer chamber.
    Type: Application
    Filed: March 27, 2002
    Publication date: December 26, 2002
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Tetsuo Yamamoto, Makoto Ozawa, Shuji Yonemitsu, Toshimitsu Miyata
  • Patent number: 6491451
    Abstract: A wafer processing equipment comprises a track (10) for transporting wafers, a wafer launch station (20) for launching wafers into a section of said track (10), a first wafer processing tool (30) for performing a first process to wafers in said section of said track (10), a buffer (40) for storing wafers processed by said first wafer processing tool (30), a second wafer processing tool (50) for performing a second process to wafers processed by said first wafer processing tool (30), and control means (70) for controlling said wafer launch station (20) such that every wafer launched by said launch station (20) is processed by said second processing tool (50) within a predetermined time window.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: December 10, 2002
    Assignee: Motorola, Inc.
    Inventors: Timothy Stanley, John Maltabes
  • Patent number: 6491435
    Abstract: A linear robot includes a slide and an end effector arm connected to the slide. The end effector arm includes an end effector. During use, vacuum is selectively supplied to the end effector, with selectively grabs and releases substrates. The slide is supported on a hanger. An air bearing between the slide and the hanger allows the slide and end effector arm including any substrates held by the end effector to freely move along the hanger. The entire periphery of an air bearing surface of the slide is lined by a collector, which is coupled to a vacuum source. Pressurized gas from the air bearing region between the slide and the hanger is captured by the collector. Thus, any particulates entrained with the pressurized gas are captured and do not contaminate the substrates.
    Type: Grant
    Filed: July 24, 2000
    Date of Patent: December 10, 2002
    Assignee: Moore Epitaxial, Inc.
    Inventors: Katsuhito Nishikawa, Thomas F. Carlos
  • Patent number: 6488778
    Abstract: An apparatus and method for controlling wafer temperature and environment is provided. The apparatus includes a batch processing fixture for batch processing wafers at a first elevated temperature. The batch of wafers is not substantially ramped in temperature within the batch processing fixture. The apparatus also includes a single wafer processing apparatus for rapidly ramping temperature of a wafer of the batch from the first elevated temperature wherein a uniform temperature across the wafer is maintained during the ramping. Another embodiment of the apparatus (10) includes an RTP chamber (20) having an inert or reducing environment and that includes a pedestal (24) for holding a single wafer (16) and a heater unit (22) arranged so as to uniformly and rapidly heat the single wafer.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: December 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Peter A. Emmi, Walter J. Frey, Michael J. Gambero, Neena Garg, Byeongju Park, Donald L. Wilson
  • Patent number: 6485248
    Abstract: An apparatus and associated method for transporting a first substrate and a second substrate relative to a cell. The cell contains a pedestal that is configured to interact with a single substrate. When swapping wafers in a cell, a first substrate is displaced from the pedestal to a remote location and a second substrate is inserted into the cell and onto the pedestal. The first substrate is then removed from the cell.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: November 26, 2002
    Assignee: Applied Materials, Inc.
    Inventor: William N. Taylor, Jr.
  • Patent number: 6481951
    Abstract: The present invention generally provides a processing system having a robot assembly which includes a multiple sided robot blade that can support a substrate on at least two sides thereof and associated methods to transfer one or more substrates in a processing system. An unprocessed substrate can be supported on the blade while a processed substrate is retrieved from a location to which the unprocessed substrate is to be delivered. The processing throughput rate is increased by reducing the movements required by the robot to exchange processed substrates and unprocessed substrates, thus decreasing the swap time.
    Type: Grant
    Filed: September 16, 1999
    Date of Patent: November 19, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Arul Shanmugasundram, Michael P. Karazim
  • Publication number: 20020164242
    Abstract: A control system for transferring and buffering material in a material transport system. A transport system and method for moving an article between a conveyor and a workstation. A robot works in conjunction with transportation buffer control system to move Pods between storage shelves, load ports and I/O ports without intervention of the material handling controller. The robots include vertical movement mechanisms and horizontal movement mechanisms together with gripping devices to handle the Pods. Movement of Pods between storage shelves, load ports and I/O ports is seen as a single activity by the material control system.
    Type: Application
    Filed: January 24, 2002
    Publication date: November 7, 2002
    Inventors: Brian Wehrung, Barton J. Cox
  • Patent number: 6468353
    Abstract: A method and apparatus are provided for substrate handling. In a first aspect, a temperature adjustment plate is located below a substrate carriage and is configured such that a substrate may be transferred between the temperature adjustment plate and the substrate carriage by lifting and lowering the substrate carriage above and below the top surface of the temperature adjustment plate. The temperature adjustment plate may be configured to heat and/or cool a substrate positioned thereon. In a second aspect, the substrate carriage is magnetically coupled so as to rotate and/or lift and lower magnetically, thereby reducing particle generation via contact between moving parts (and potential chamber contamination therefrom). In a third aspect, a substrate handler positioned below the substrate carriage is both magnetically coupled and magnetically levitated, providing further particle reduction.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 22, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Alexey Goder, Eugene Gantvarg, Howard E. Grunes
  • Patent number: 6464789
    Abstract: A cooling processing unit for cooling a wafer to a predetermined temperature is disposed between a heat processing unit and a set of a resist coating processing unit and a developing processing unit. Pre-cooling units are stacked in multi-stages on top of the cooling processing unit. Immediately after having undergone heat processing in the heat processing unit, the wafer is first transferred to the pre-cooling unit by a first transfer machine. Thereafter, the wafer is transferred to the cooling processing unit by a third transfer machine to be cooled to the predetermined temperature, and then transferred to the resist coating processing unit by a second transfer machine. Thus, over-bake of the wafer can be prevented.
    Type: Grant
    Filed: June 8, 2000
    Date of Patent: October 15, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Masami Akimoto
  • Patent number: 6460414
    Abstract: An automated acoustic micro imaging system includes a part-storage station favoring a dry environment, a part-transport robot, and a wet-environment inspection station. The wet-environment inspection station has an ultrasonic beam generator, a coupling fluid in which parts are inspected, and a part-retention stage. A moisture barrier is located between the wet-environment inspection station and the part-storage station favoring a dry environment. The inspection station includes a kinematic, quick-change part-retaining chuck and robotic means for interchanging chucks. Automatic acoustic micro imaging methods are disclosed.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: October 8, 2002
    Assignee: Sonoscan, Inc.
    Inventors: Daniel M. Erickson, Daniel W. Micek, Michael G. Oravecz
  • Patent number: 6461437
    Abstract: An apparatus for manufacturing a liquid crystal display device that can prevent chemical contamination attributed to contacting an external atmosphere, and a method of manufacturing the liquid crystal display device. The apparatus includes a cleaning chamber, a film deposition chamber for depositing a film on a layer cleaned in the cleaning chamber, and a transporter for transporting a substrate from the cleaning chamber to the film deposition chamber while preventing the substrate from being exposed to the external atmosphere.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: October 8, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Seiko Epson Corporation
    Inventors: Takeshi Kubota, Norikazu Komatsu
  • Patent number: 6461085
    Abstract: A sputter pallet loading and unloading device includes in one embodiment a spindle that rotates the pallet while an arm is engaged with the spring in the pallet to open and close the spring. In another embodiment, the spindle is located adjacent an air track that moves the disk substrate over a cushion of air to the loading area of the pallet. The air pressure under the disk substrate is increased to raise the disk substrate into the loading area. Once raised into the loading area, the spring is closed, e.g., by rotating the pallet in the opposite direction. The pallet and air-track are at a small angle to allow the substrate to slide into the correct position. The simplicity of operation leads to a reduced cost and a higher throughput for this device compared to a robotic loader.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: October 8, 2002
    Assignee: Toda Citron Technologies, Inc.
    Inventors: Johann F. Adam, Evan F. Cromwell
  • Publication number: 20020136629
    Abstract: There is provided a processing system for processing a processing object, capable of precisely and efficiently carrying out alignment during a teaching operation.
    Type: Application
    Filed: March 25, 2002
    Publication date: September 26, 2002
    Inventor: Yoshiaki Sasaki
  • Patent number: 6453543
    Abstract: Disclosed is a workpiece conveyor and delivery device for a vacuum processing plant. Workpieces are delivered from one device to another, both devices being located opposite each other and moving in relation to each other. A controllable magnet arrangement is provided on one of the devices. A moveable armature element acts as a holding device for the workpiece on one of the two devices which move in relation to each other, namely the device provided with the magnet arrangement. Magnet arrangement is driven to activate the holding device which can be deactivated for delivery of workpiece.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: September 24, 2002
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Peter Tinner, Josef Marthy, Roman Schertler, Stephan Voser
  • Patent number: 6456358
    Abstract: The present invention provides a surface-treatment apparatus for forming a plurality of photoresist-isolating walls on an organic electroluminescent panel. The surface-treatment apparatus has a photoresist-coating module, a prebaking unit, an exposure unit, a post-exposure surface treatment module with an alkaline atmosphere, a development module and a hard baking unit. The photoresist-coating module coats a photoresist layer on the surface of the organic electroluminescent panel. The prebaking unit bakes the photoresist layer on the organic electroluminescent panel by a heating plate and initially drives solvents from the photoresist layer. The exposure unit performs an UV exposure process on the photoresist layer after the prebaking. The post-exposure surface treatment module with an alkaline atmosphere bakes the photoresist layer by a heating plate after the exposure process, wherein the alkaline atmosphere surfacely terminates a self-catalyzed reaction of photo-acid ions of the photoresist layer.
    Type: Grant
    Filed: May 4, 2000
    Date of Patent: September 24, 2002
    Assignee: Ritek Display Technology Co.
    Inventor: Tien-Rong Lu
  • Patent number: 6452292
    Abstract: A planar electric motor comprising a magnet array having a plurality of magnets with magnetic fields of alternating polarity and a coil array positioned adjacent to the magnet array and operable to interact with said magnetic fields to generate an electromagnetic force between the coil array and the magnet array. The coil array includes a first linear coil array having a plurality of polygonal shaped coils extending longitudinally in a first direction, and a second linear coil array having a plurality of oval shaped coils extending longitudinally in a second direction generally orthogonal to said first direction. The motor is for use in moving a stage within an exposure apparatus having an optical system for imaging a pattern formed in a reticle onto an article supported by the stage.
    Type: Grant
    Filed: June 26, 2000
    Date of Patent: September 17, 2002
    Assignee: Nikon Corporation
    Inventor: Michael Binnard
  • Patent number: 6452503
    Abstract: A wafer imaging system is provided in which a camera is mounted at a known position in relation to an open front of a cassette to view the cassette and its entire stack of wafer contents. The camera can be mounted on a robot or on a tool. An image of the set of wafers is captured and is image processed to provide information on the position and alignment of each wafer in the cassette. The image can be processed to provide data on separation of the wafers within the cassette, any cross slotting of wafers, and the center point of each of the wafers. Image analysis and processing is employed to determine the edge of each of the wafers. One image scan provides a determination of all information on the X, Y and Z position of the wafers in relation to the camera reference position.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: September 17, 2002
    Assignee: PRI Automation, Inc.
    Inventor: Mitchell Weiss
  • Patent number: 6448188
    Abstract: The present invention comprises a dynamic brake that applies restraining frictional force to a wafer in a wafer holder while the wafer holder is substantially at rest, but releases the restraining force as the processing carousel containing several wafer holders rotates about a central axis of the carousel. This dynamic brake preferably comprises a boot that passes through an opening in the wafer holder to rest on the surface of the wafer in an exclusion zone near the wafer's edge. The exclusion zone is typically no more than about 3 mm in extent. The frictional force between the boot and wafer is sufficient to prevent unwanted motion of the wafer in the holder. As the wafer holder rotates about a central axis of the processing carousel, centrifugal forces applied to the brake arising from such rotation cause the boot to pivot upward, releasing the frictional force on the wafer.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: September 10, 2002
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Oleg Siniaguine, Alex Berger
  • Patent number: 6449522
    Abstract: Systems and methods for managing automated material handling systems, such as semiconductor fabrication facilities, using material item (e.g., wafer lot) attributes and cassette attributes are provided. A semiconductor fabrication facility typically includes multiple wafer lots and multiple cassettes for storing the wafer lots. A system and method, in one embodiment of the invention, includes setting one or more lot attributes for each wafer lot, setting one or more cassette attributes for each cassette, and selecting a particular cassette for holding a particular wafer lot based on the one or more wafer lot attributes of the particular wafer lot and the one or more cassette attributes of the particular cassette. The wafer lot and cassette attributes may, for example, include an attribute identifying a position in a fabrication sequence and one or more attributes indicative of one or more contaminants.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: September 10, 2002
    Assignee: Micro Devices, Inc.
    Inventors: Michael R. Conboy, Patrick J. Ryan, Elfido Coss, Jr.
  • Patent number: 6444974
    Abstract: Dummy wafers of SiC having low light transmission properties to light from the light source of a photo-sensor are used, and when wafers undergoes a heat treatment, the dummy wafers are transferred from a wafer cassette to a wafer boat in which the number of dummy wafers and a state of arrangement of the wafers are detected or monitored.
    Type: Grant
    Filed: July 14, 1999
    Date of Patent: September 3, 2002
    Assignees: Asahi Glass Company Ltd., Tokai Carbon Company Ltd.
    Inventor: Hiroshi Kojima