Silicon Containing Coating Material Patents (Class 427/578)
  • Publication number: 20140030448
    Abstract: Disclosed herein are non-oxygen containing silicon-based films, and methods for forming the same. The non-oxygen silicon-based films contain >50 atomic % of silicon. In one aspect, the silicon-based films have a composition SixCyNz wherein x is about 51 to 100, y is 0 to 49, and z is 0 to 50 atomic weight (wt.) percent (%) as measured by XPS. In one embodiment, the non-oxygen silicon-based films were deposited using at least one organosilicon precursor having at least two SiH3 groups with at least one C2-3 linkage between silicon atoms such as 1,4-disilabutane.
    Type: Application
    Filed: July 24, 2013
    Publication date: January 30, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Heather Regina Bowen, Jianheng Li, Mark Leonard O'Neill, Manchao Xiao, Andrew David Johnson, Xinjian Lei
  • Patent number: 8629076
    Abstract: A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust. Carbon aerogels can be coated with sol-gel silica and the silica can be converted to silicon carbide, improving the thermal stability of the carbon aerogel.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: January 14, 2014
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Marcus A. Worsley, Joshua D. Kuntz, Theodore F. Baumann, Joe H. Satcher, Jr.
  • Publication number: 20130323435
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, there is provided a precursor of Formula I: wherein R1 is selected from linear or branched C3 to C10 alkyl group, linear or branched C3 to C10 alkenyl group, linear or branched C3 to C10 alkynyl group, C1 to C6 dialkylamino group, electron withdrawing group, and C6 to C10 aryl group; R2 is selected from hydrogen, linear or branched C1 to C10 alkyl group, linear or branched C3 to C6 alkenyl group, linear or branched C3 to C6 alkynyl group, C1 to C6 dialkylamino group, C6 to C10 aryl group, linear or branched C1 to C6 fluorinated alkyl group, electron withdrawing group, and C4 to C10 aryl group; optionally wherein R1 and R2 are linked together to form ring selected from substituted or unsubstituted aromatic ring or substituted or unsubstituted aliphatic ring; and n=1 or 2.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Applicant: Air Products And Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Daniel P. Spence, Haripin Chandra, Bing Han, Mark Leonard O'Neill, Steven Gerard Mayorga, Anupama Mallikarjunan
  • Patent number: 8592015
    Abstract: In order to improve the emptying of residual contents from containers, such as pharmaceutical packaging, the invention provides corresponding substrates with a hydrophobic coating. Provided for this purpose is a composite material which comprises a substrate and a coating deposited on it, which forms at least a part of the surface of the coated substrate, with the coating having a compound containing the elements C, O, and H, with further elements, apart from Si, C, H, having a content of less than 10 at %, preferably less than 5 at %, characterized in that this compound has a composition SiOxCyHz, in which x is at most 1.2.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: November 26, 2013
    Assignee: Schott AG
    Inventors: Matthias Bicker, Hartmut Bauch, Andreas Hahn, Stefan Bauer, Manfred Lohmeyer, Robert Hormes
  • Patent number: 8586151
    Abstract: A process for the preparation of nano structured silicon thin film using radio frequency (rf) plasma discharge useful for light emitting devices such as light emitting diode, laser etc. which allows precise control of the nanocrystal size of silicon and its uniform distribution without doping using a plasma processing for obtaining efficient photoluminescence at room temperature.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: November 19, 2013
    Assignee: Council of Scientific & Industrial Research
    Inventors: Sushil Kumar, Prakash Narain Dixit, Chandra Mohan Singh Rauthan
  • Publication number: 20130287969
    Abstract: The invention relates to a method for producing a transparent barrier layer system, wherein in a vacuum chamber at least two transparent barrier layers and a transparent intermediate layer disposed between the two barrier layers are deposited on a transparent plastic film, wherein for deposition of the barrier layers aluminium is vaporised and simultaneously at least one first reactive gas is introduced into the vacuum chamber and wherein for deposition of the intermediate layer aluminium is vaporised and simultaneously at least one second reactive gas is introduced into the vacuum chamber, and a silicon-containing layer is deposited as intermediate layer by means of a PECVD process.
    Type: Application
    Filed: February 15, 2012
    Publication date: October 31, 2013
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Steffen Guenther, Bjoern Meyer, Steffen Straach, Thomas Kuehnel, Sebastian Bunk, Nicolas Schiller
  • Patent number: 8563096
    Abstract: A method for using a vertical film formation apparatus includes performing a coating process inside the process container without product target objects present therein to cover an inner surface of the process container with a coating film, and then performing a film formation process inside the process container accommodating the holder with the product target objects placed thereon to form a predetermined film on the product target objects. The coating process alternately supplies the first and second process gases into the process container without turning either of the first and second process gases into plasma. The film formation process alternately supplies the first and second process gases into the process container while turning at least one of the first and second process gases into plasma.
    Type: Grant
    Filed: November 26, 2010
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Matsunaga, Pao-Hwa Chou, Masato Yonezawa, Masayuki Hasegawa, Kazuhide Hasebe
  • Publication number: 20130252002
    Abstract: Provided is a gas barrier film including a base, a first barrier layer containing silicon which is formed on at least one surface of the base, and a second barrier layer containing silicon ox nitride which is formed on the first barrier layer. The ater vapor transmission rate (g/m2/day) at 40° C. and 90% RH in a structure in which the first barrier layer is formed on the base is R1 and the water vapor transmission rate (g/m2/day) at 40° C. and 90% RH in a structure in which the first barrier layer and the second barrier layer are laminated on the base is R2, and the ratio of the water vapor transmission rate R1 to the water vapor transmission rate R2 (R1/R2) is 80 or more and 5000 or less. Hereby, excellent, barrier performance can be exhibited under a high-temperature and high-humidity environment.
    Type: Application
    Filed: November 18, 2011
    Publication date: September 26, 2013
    Applicant: KONICA MINOLTA, INC.
    Inventor: Issei Suzuki
  • Patent number: 8529781
    Abstract: A method for producing a component, and a component, in particular a micromechanical and/or microfluidic and/or microelectronic component, is provided, the component including at least one patterned material region, and in a first step the patterned material region is produced in that microparticles of a first material are embedded in a matrix of a second material, and in a second step the patterned material region is rendered porous by etching using a dry etching method or a gas-phase etching method.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: September 10, 2013
    Assignee: Robert Bosch GmbH
    Inventors: Tino Fuchs, Christina Leinenbach
  • Patent number: 8524331
    Abstract: A substrate processing method effectively suppresses non-uniformity in deposition degree on a surface of a substrate. The substrate processing method includes depositing a deposit on a sidewall of each opening of a resist pattern, which is formed on an antireflection film on an etching target film of the substrate and is provided with a plurality of openings, before etching the etching target film of the substrate. Plasma is generated in the depositing process by introducing a CHF-based gas into the processing chamber at a flow rate equal to or higher than about 1000 sccm while a pressure in the processing chamber is set to equal to or higher than about 100 mTorr.
    Type: Grant
    Filed: November 11, 2010
    Date of Patent: September 3, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Masanobu Honda
  • Patent number: 8524333
    Abstract: The method of manufacturing a gas barrier film feeds long lengths of a substrate and forms a silicon nitride film as the gas barrier film on the substrate by a capacitively coupled plasma-enhanced CVD technique while transporting the substrate in a longitudinal direction. Gaseous raw materials using in the forming step of the silicon nitride film includes at least silane gas and ammonia gas, and a ratio P/Q [W/sccm] is not less than 1 when a flow rate of the silane gas is denoted as Q [sccm] and a power input for generating a capacitively coupled plasma is denoted as P [W], a tension applied to the substrate transported between two transporting elements is not more than 100 [N/m], and a pair of electrodes for at least forming the silicon nitride film on the substrate is interposed between the two transporting elements.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: September 3, 2013
    Assignee: Fujifilm Corporation
    Inventor: Tatsuya Fujinami
  • Publication number: 20130224399
    Abstract: The present invention provides a method of forming a nitrogen-free dielectric anti-reflection layer comprising: introducing a reaction gas into the discharge tube until the reaction gas reaching a stable state; introducing the reaction gas into the reaction chamber and then generating a plasma, or generating a plasma and then introducing the reaction gas into the reaction chamber, wherein the time delay occurs between the two processes is utilized to perform the deposition of the nitrogen-free dielectric anti-reflection layer; finally stop introducing the reaction gas and then stop generating the plasma. The method can flexibly control the extinction coefficient and the refractive index of the nitrogen-free dielectric anti-reflection layer so as to obtain a straight photoresist pattern and greatly reduce the photoresist standing waves effect and photoresist poisoning effect.
    Type: Application
    Filed: December 20, 2012
    Publication date: August 29, 2013
    Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventor: SHANGHAI HUALI MICROELECTRONICS CORPORATION
  • Publication number: 20130209766
    Abstract: A method for coating a substrate surface by PECVD is provided, the method comprising generating a plasma from a gaseous reactant comprising an organosilicon precursor and optionally O2. The lubricity, hydrophobicity and/or barrier properties of the coating are set by setting the ratio of the O2 to the organo silicon precursor in the gaseous reactant, and/or by setting the electric power used for generating the plasma. In particular, a lubricity coating made by said method is provided. Vessels coated by said method and the use of such vessels protecting a compound or composition contained or received in said coated vessel against mechanical and/or chemical effects of the surface of the uncoated vessel material are also provided.
    Type: Application
    Filed: May 11, 2011
    Publication date: August 15, 2013
    Inventors: John Felts, Tom Fisk, Robert Abrams, John Ferguson, Jonathan Freedman, Robert Pangborn, Peter Sagona
  • Publication number: 20130196082
    Abstract: Alkoxyaminosilane compounds having formula I, and processes and compositions for depositing a silicon-containing film, are described herein: (R1R2)NSiR3OR4OR5??Formula (I) wherein R1 is independently selected from a linear or branched C1 to C10 alkyl group; a C2 to C12 alkenyl group; a C2 to C12 alkynyl group; a C4 to C10 cyclic alkyl group; and a C6 to C10 aryl group; R2 and R3 are each independently selected from hydrogen; a linear or branched C1 to C10 alkyl group; a C3 to C12 alkenyl group, a C3 to C12 alkynyl group, a C4 to C10 cyclic alkyl group, and a C6 to C10 aryl group; and R4 and R5 are each independently selected from a linear or branched C1 to C10 alkyl group; a C2 to C12 alkenyl group; a C2 to C12 alkynyl group; a C4 to C10 cyclic alkyl group; and a C6 to C10 aryl group.
    Type: Application
    Filed: January 18, 2013
    Publication date: August 1, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventor: Air Products and Chemicals, Inc.
  • Publication number: 20130196081
    Abstract: Methods of depositing thin film materials having crystalline content are provided. The methods use plasma enhanced chemical vapor deposition. According to one embodiment of the present invention, microcrystalline silicon films are obtained. According to a second embodiment of the present invention, crystalline films of zinc oxide are obtained. According to a third embodiment of the present invention, crystalline films of iron oxide are obtained.
    Type: Application
    Filed: January 17, 2013
    Publication date: August 1, 2013
    Inventors: Russell F. JEWETT, Steven F. PUGH, Paul WICKBOLDT
  • Patent number: 8491971
    Abstract: The producing method of a gas barrier layer uses a material having at least one Si—H bond, a material having at least one N—H bond, and at least one of nitrogen gas, hydrogen gas and a noble gas and forms the gas barrier layer by plasma-enhanced CVD using a plasma in which an emission intensity A of emission at 414 nm, an emission intensity B of emission at 336 nm, an emission intensity C of emission at 337 nm, and an emission intensity D of emission at 656 nm satisfy formulas a to c: 2<B/A<20??Formula a: C/B<2??Formula b: 0.5<D/B<50.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: July 23, 2013
    Assignee: Fujifilm Corporation
    Inventor: Toshiya Takahashi
  • Publication number: 20130183435
    Abstract: The present invention is a process for surface treatment of a fluid-contacting device where a continuous organo-silicon or organo-silicon and oxygen plasma coating is applied at a low temperature by a plasma deposition technique to at least one contacting surface of the device and devices with the process applied. The plasma coating inhibits bacterial attachment to the device and prevents biofilm formation on said device. The coating preferably has a thickness from about 1 nm to about 100 nm, more preferably from about 20 nm to about 30 nm. The trimethylsilane and oxygen gas mixture is an approximate ratio of 1 to 4. The invention demonstrates that bacterial cells on the organo-silicon or organo-silicon/O2 coated surface are more susceptible to antibiotic treatment than their counterparts in biofilm formed on uncoated surface.
    Type: Application
    Filed: January 14, 2013
    Publication date: July 18, 2013
    Inventors: Hongmin Sun, Meng Chen
  • Publication number: 20130164487
    Abstract: An encapsulation is formed on a printed circuit board that is populated with electronic components, especially a printed circuit board having electronic components that have to meet certain safety standards because they are used, e.g. in the area of explosion control. The printed circuit board assembly is coated with a bottom layer, preferably a bottom layer produced from a plasma and pretreated such that the protective coat adheres on the entire surface of the printed circuit board assembly evenly and in a sufficient thickness and does not shrink during the subsequent curing process regardless of the material of the surface and/or regardless of the fact whether the coat is applied to a geometrically problematic area on the printed circuit board assembly such as a corner or an edge.
    Type: Application
    Filed: August 17, 2011
    Publication date: June 27, 2013
    Inventor: Florian Eder
  • Patent number: 8470434
    Abstract: The invention relates to a transparent glass substrate, associated with a transparent electro-conductive layer capable of constituting an electrode of a photovoltaic cell and composed of a doped oxide, characterized by the interposition, between the glass substrate and the transparent electroconductive layer, of a mixed layer of one or more first nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) having good adhesive properties with glass, and one or more second nitride(s) or oxynitride(s) or oxide(s) or oxycarbide(s) capable of constituting, possibly in the doped state, a transparent electroconductive layer; a method for producing this substrate; a photovoltaic cell, a tempered and/or curved glass, a shaped heating glass, a plasma screen and a flat lamp electrode having this substrate.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: June 25, 2013
    Assignee: Saint-Gobain Glass France
    Inventors: Bernard Nghiem, Emilie Viasnoff, Bertrand Kuhn, David Le Bellac, Anne Durandeau, Fabrice Abbott, Eddy Royer, Georges Zagdoun, Olivier Dubois
  • Patent number: 8465811
    Abstract: A thin film is formed by alternating multiple times, respectively, a process of adsorbing a precursor onto a substrate and a process of treating the adsorbed surface using a reactant gas and a plasma, wherein the reactant gas is supplied substantially uniformly over the substrate, and the plasma is pulse-time-modulated and applied in the process of supplying the reactant gas.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: June 18, 2013
    Assignee: ASM Japan K.K.
    Inventor: Shintaro Ueda
  • Patent number: 8455059
    Abstract: A method according to the invention comprises: starting plasma discharge for forming the gas barrier layer in a film deposition chamber; and producing the gas barrier layer by using a plasma after a first predetermined period of time has elapsed from a start of the plasma discharge.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: June 4, 2013
    Assignee: Fujifilm Corporation
    Inventors: Toshiya Takahashi, Kouji Tonohara, Shinsuke Takahashi
  • Publication number: 20130129940
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Application
    Filed: May 17, 2012
    Publication date: May 23, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Patent number: 8440037
    Abstract: A coated article is provided that may be heat treated in certain example embodiments. A coating of the coated article includes a zinc oxide inclusive layer located over and contacting a contact layer that is in contact with an infrared (IR) reflecting layer of a material such as silver. It has been found that the use of such a zinc oxide inclusive layer results in improved thermal stability upon heat treatment, increased visible transmission, and/or lower sheet resistance (Rs).
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: May 14, 2013
    Assignees: Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.), Guardian Industries Corp.
    Inventors: Anton Dietrich, Philip J. Lingle, Jens-Peter Muller, Jean-Marc Lemmer
  • Patent number: 8430097
    Abstract: A medicinal inhalation device having a non-metal coating coated on at least a portion thereof, and onto which non-metal coating an at least partially fluorinated compound is then covalently bonded.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: April 30, 2013
    Assignee: 3M Innovative Properties Company
    Inventors: Philip A. Jinks, Moses M. David, Rudolf J. Dams
  • Publication number: 20130095255
    Abstract: A chemical vapor deposition method for producing a porous organosilica glass film comprising: introducing into a vacuum chamber gaseous reagents including at least one precursor selected from the group consisting of an organosilane and an organosiloxane, and a porogen that is distinct from the precursor, wherein the porogen is a C4 to C14 cyclic hydrocarbon compound having a non-branching structure and a degree of unsaturation equal to or less than 2; applying energy to the gaseous reagents in the vacuum chamber to induce reaction of the gaseous reagents to deposit a preliminary film on the substrate, wherein the preliminary film contains the porogen; and removing from the preliminary film substantially all of the labile organic material to provide the porous film with pores and a dielectric constant less than 2.6.
    Type: Application
    Filed: April 5, 2012
    Publication date: April 18, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Mary Kathryn Haas
  • Publication number: 20130095256
    Abstract: The present invention provides a process for the application of high temperature coating that provide enhanced impact resistance and erosion damage for the coatings. For high temperature coating systems that provide environmental protection to silicon based ceramics, the process provides the deposition of a silicon-based bond coat on the substrate using the directed vapor deposition with plasma activation and at least one supersonic gas jet nozzle. The process provides the deposition of an EBC layer using the directed vapor deposition with the gas jet nozzle. In one embodiment, the thermal barrier layer may also contain one or more dense embedded layers which further promote impact resistance. Within the process, the particular layers, silicon bond coat, EBC layer and/or TBC layer may be deposited together or specific novel layers applied in combination with other layers deposited using prior known deposition techniques.
    Type: Application
    Filed: October 17, 2012
    Publication date: April 18, 2013
    Inventors: Derek Hass, Balvinder Gogia
  • Patent number: 8414987
    Abstract: A method for improving an adhesion of an adhesive to a cured silicon-containing surface is provided. The method comprises applying a primer composition to the surface before applying the adhesive; and subjecting the surface to a plasma treatment before or after the composition is applied thereto; wherein the primer composition comprises a polar organic solvent, an acrylic monomer, and an amino-containing silane.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: April 9, 2013
    Assignee: Great Eastern Resins Industrial Co., Ltd.
    Inventors: Shang-Hsin Guo, Wen-Ching Tsay, Bor-Kai Hsiung
  • Patent number: 8415258
    Abstract: Provided is a method of manufacturing a semiconductor device. The method includes: loading a substrate into a process vessel; performing a process to form an film on the substrate by alternately repeating: (a) forming a layer containing an element on the substrate by supplying at least two types of source gases into the process vessel, each of the at least two types of source gases containing the element, and (b) changing the layer containing the element by supplying reaction gas into the process vessel, the reaction gas being different from the at least two types of source gases; and unloading the processed substrate from the process vessel.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: April 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota, Ryota Sasajima
  • Publication number: 20130078392
    Abstract: Described herein are precursors and methods of forming films. In one aspect, there is provided a precursor having Formula I: XmR1nHpSi(NR2R3)4-m-n-p??I wherein X is selected from Cl, Br, I; R1 is selected from linear or branched C1-C10 alkyl group, a C2-C12 alkenyl group, a C2-C12 alkynyl group, a C4-C10 cyclic alkyl, and a C6-C10 aryl group; R2 is selected from a linear or branched C1-C10 alkyl, a C3-C12 alkenyl group, a C3-C12 alkynyl group, a C4-C10 cyclic alkyl group, and a C6-C10 aryl group; R3 is selected from a branched C3-C10 alkyl group, a C3-C12 alkenyl group, a C3-C12 alkynyl group, a C4-C10 cyclic alkyl group, and a C6-C10 aryl group; m is 1 or 2; n is 0, 1, or 2; p is 0, 1 or 2; and m+n+p is less than 4, wherein R2 and R3 are linked or not linked to form a ring.
    Type: Application
    Filed: September 18, 2012
    Publication date: March 28, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Mark Leonard O'Neill, Bing Han, Ronald Martin Pearlstein, Haripin Chandra, Heather Regina Bowen, Agnes Derecskei-Kovacs
  • Patent number: 8394197
    Abstract: Enhanced corrosion resistance is achieved in a coating by using a germanium-containing precursor and hollow cathode techniques to form a first layer directly on the surface of a workpiece, prior to forming an outer layer, such as a layer of diamond-like carbon (DLC). The use of a germanium or germanium-carbide precursor reduces film stress and enables an increase in the thickness of the subsequently formed DLC. Germanium incorporation also reduces the porosity of the layer. In one embodiment, a cap layer containing germanium is added after the DLC in order to further reduce the susceptibility of the coating to chemical penetration from the top.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: March 12, 2013
    Assignee: Sub-One Technology, Inc.
    Inventors: Andrew W. Tudhope, Thomas B. Casserly, Karthik Boinapally, Deepak Upadhyaya, William J. Boardman
  • Publication number: 20130059093
    Abstract: The coated member production method includes a DLC film forming step of introducing a feedstock gas containing a carbon compound and an oxygen-containing organic silicon compound into a treatment chamber in which a base is accommodated, and applying a voltage to the base at a treatment pressure of not lower than 100 Pa and not higher than 400 Pa to generate plasma to form a DLC film on a surface of the base. Hexamethyldisiloxane, for example, is used as the oxygen-containing organic silicon compound. A DC pulse voltage, for example, is applied to the base in the DLC film forming step.
    Type: Application
    Filed: May 12, 2011
    Publication date: March 7, 2013
    Applicant: JTEKT CORPORATION
    Inventors: Masahiro Suzuki, Kazuyoshi Yamakawa, Toshiyuki Saito
  • Publication number: 20130052810
    Abstract: In one embodiment, a method of producing a porous semiconductor film on a workpiece includes generating semiconductor precursor ions that comprise one or more of: germanium precursor ions and silicon precursor ions in a plasma of a plasma chamber, in which the semiconductor precursor ions are operative to form a porous film on the workpiece. The method further includes directing the semiconductor precursor ions to the workpiece over a range of angles.
    Type: Application
    Filed: August 28, 2012
    Publication date: February 28, 2013
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Blake Darby, Ludovic GODET, Xianfeng LU
  • Patent number: 8383210
    Abstract: A method is described of depositing film of an amorphous or microcrystalline material, for example silicon, from a plasma on to a substrate. Microwave energy is introduced into a chamber as a sequence of discrete microwave pulses, a film precursors gas is introduced into the chamber as a sequence of discrete gas pulses, and gas for generating atomic hydrogen is supplied to the chamber at least during each microwave pulse. Each microwave pulse is followed in non-overlapping fashion with a precursor gas pulse, and each precursor gas pulse is followed by a period during which there is neither a microwave pulse nor a precursor gas pulse.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: February 26, 2013
    Assignees: Dow Corning Europe S.A., Ecole Polytechnique
    Inventors: Pere Roca I Cabarrocas, Pavel Bulkin, Dmitri Daineka, Patrick Leempoel, Pierre Descamps, Thibault Kervyn De Meerendre
  • Publication number: 20130042790
    Abstract: Disclosed are precursors that are adapted to deposit SiCOH films with dielectric constant and Young's Modulus suitable for future generation dielectric films.
    Type: Application
    Filed: February 17, 2011
    Publication date: February 21, 2013
    Applicant: L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude
    Inventors: Christian Dussarrat, François Doniat, Curtis Anderson, James J.F. McAndrew
  • Patent number: 8366892
    Abstract: The present invention relates to an electrode composed of carbon having at least two different zones, wherein an outer zone (A) forms the base of the electrode and carries one or more inner zones, wherein the innermost zone (B) projects from the zone (A) at the top and has a lower specific thermal conductivity than zone (A).
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: February 5, 2013
    Assignee: Wacker Chemie AG
    Inventors: Heinz Kraus, Mikhail Sofin
  • Publication number: 20130029138
    Abstract: A conventional polymer is grafted from a plasma polymer layer provided at a substrate surface by radical polymerisation initiated from plasma induced radicals present at or in the plasma polymer, particularly radicals provided during deposition of the plasma polymer.
    Type: Application
    Filed: January 26, 2011
    Publication date: January 31, 2013
    Applicants: MATERIA NOVA, UNIVERSITE DE MONS
    Inventors: Freddy Bénard, Philippe Dubois, Marjorie Olivier, Rony Snyders, Laurent Denis, Farid Khelifa, Damien Thiry, Fabian Renaux
  • Patent number: 8360001
    Abstract: Chemical vapor deposition processes utilize chemical precursors that allow for the deposition of thin films to be conducted at or near the mass transport limited regime. The processes have high deposition rates yet produce more uniform films, both compositionally and in thickness, than films prepared using conventional chemical precursors. In preferred embodiments, a higher order silane is employed to deposit thin films containing silicon that are useful in the semiconductor industry in various applications such as transistor gate electrodes.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: January 29, 2013
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Mark Hawkins
  • Patent number: 8349412
    Abstract: A method is described for forming a film of amorphous silicon (a-Si:H) on a substrate by deposition from a plasma. The substrate is placed in an enclosure, a film precursor gas is introduced into the enclosure, and unreacted and dissociated gas is extracted from the enclosure so as to provide a low pressure in the enclosure. Microwave energy is introduced into the gas within the enclosure to produce a plasma therein by distributed electron cyclotron resonance (DECR) and cause material to be deposited from the plasma on the substrate. The substrate is held during deposition at a temperature in the range 200-600° C., preferably 225-350° C. and a bias voltage is applied to the substrate at a level to give rise to a sheath potential in the range ?30 to ?105V, preferably using a source of RF power in the range of 50-250 mW/cm2 of the area of the substrate holder.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: January 8, 2013
    Assignees: Ecole Polytechnique, Dow Corning Corporation
    Inventors: Pere Roca I Cabarrocas, Pavel Bulkin, Dmitri Daineka, Thien Hai Dao, Patrick Leempoel, Pierre Descamps, Thibault Kervyn De Meerendre
  • Patent number: 8337960
    Abstract: A seasoning method for a film-forming apparatus configured to form a silicon nitride film on a substrate placed in a process chamber. The method is conducted for reducing particles in the apparatus. The method comprises executing the plasma cleaning of the process chamber to remove a film deposited on the inner wall thereof (step S1), subsequently depositing an amorphous silicon film (step S2), depositing thereon a silicon nitride film in which the nitrogen content gradually increases in the thickness direction (step S3), and keeping the inside of the process chamber being filled with a rare-gas plasma until film formation on the substrate is initiated (step S4).
    Type: Grant
    Filed: February 19, 2007
    Date of Patent: December 25, 2012
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tadashi Shimazu, Yuichi Kawano
  • Patent number: 8329262
    Abstract: Methods of forming a silicon-and-nitrogen-containing layers and silicon oxide layers are described. The methods include the steps of mixing a carbon-free silicon-containing precursor with plasma effluents, and depositing a silicon-and-nitrogen-containing layer on a substrate. The silicon-and-nitrogen-containing layers may be made flowable or conformal by selection of the flow rate of excited effluents from a remote plasma region into the substrate processing region. The plasma effluents are formed in a plasma by flowing inert gas(es) into the plasma. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: December 11, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew L. Miller, Jang-Gyoo Yang
  • Patent number: 8323753
    Abstract: Disclosed are methods for deposition of a chemical compound or element using an atmospheric pressure glow discharge plasma in a treatment space comprising two electrodes connected to a power supply for providing electrical power during an on-time (ton). The treatment space is filled with a gas composition of an active and an inert gas mixture, including a precursor of the chemical compound or element to be deposited. Dust formation is prevented by using Nitrogen in the gas composition, applying short pulses and using a predetermined residence time of the gas composition in the treatment space. Best results are obtained when using a stabilized plasma.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: December 4, 2012
    Assignee: Fujifilm Manufacturing Europe B.V.
    Inventors: Hindrik Willem De Vries, Eugen Aldea, Serguei Alexandrovich Starostine, Mariadriana Creatore, Mauritius Cornelius Maria Van De Sanden
  • Patent number: 8323754
    Abstract: In one embodiment, a method for forming a dielectric stack on a substrate is provided which includes depositing a first layer of a dielectric material on a substrate surface, exposing the first layer to a nitridation process, depositing a second layer of the dielectric material on the first layer, exposing the second layer to the nitridation process, and exposing the substrate to an anneal process. In another embodiment, a method for forming a dielectric material on a substrate is provided which includes depositing a metal oxide layer substantially free of silicon on a substrate surface, exposing the metal oxide layer to a nitridation process, and exposing the substrate to an anneal process.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: December 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Olsen, Pravin K. Narwankar, Shreyas S. Kher, Randhir Thakur, Shankar Muthukrishnan, Philip A. Kraus
  • Publication number: 20120292256
    Abstract: Methods are provided for vapor deposition coating of hydrophobic materials and applications thereof. The method for making a hydrophobic material includes providing a natural mineral, providing a silicone-based material, heating the silicone-based material to release vaporous molecules of the silicone-based material, and depositing the vaporous molecules of the silicone-based material to form a layer of the silicone-based material on surfaces of the natural mineral.
    Type: Application
    Filed: June 8, 2009
    Publication date: November 22, 2012
    Inventors: Jikang Yuan, He Dong
  • Publication number: 20120295119
    Abstract: A method of making a coated metal article comprises (a) forming a hardcoat layer on at least a portion of a surface of a metal or metalized substrate by physical vapor deposition; (b) forming a tie layer comprising silicon, oxygen, and hydrogen on at least a portion of the surface of the hardcoat layer by plasma deposition; and (c) applying an at least partially fluorinated composition comprising at least one silane group to at least a portion of the surface of the tie layer.
    Type: Application
    Filed: September 17, 2010
    Publication date: November 22, 2012
    Inventor: Moses M. David
  • Patent number: 8313812
    Abstract: A duplex coating scheme and associated method of formation, which includes a siloxane based soft coating and a plasma based SiOxCy hard coating used in combination to improve the durability of acrylic substrates used in aircraft window applications.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: November 20, 2012
    Assignee: The Boeing Company
    Inventors: Craig E. Coak, Vasan S. Sundaram, Warren W. Wascher
  • Patent number: 8313455
    Abstract: Syringes for holding fluids susceptible to void formation when the syringe and fluid are frozen and thawed before use. The interior surface of the syringe barrel is modified by exposure to a plasma such that the incidence of void formation in the fluid is prevented or, at the least, significantly reduced in comparison with conventional syringes.
    Type: Grant
    Filed: May 29, 2012
    Date of Patent: November 20, 2012
    Assignee: Nordson Corporation
    Inventors: Henry DiGregorio, David Foote, James Getty
  • Patent number: 8298628
    Abstract: This invention discloses the method of forming silicon nitride, silicon oxynitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide and carbon-doped oxynitride films at low deposition temperatures. The silicon containing precursors used for the deposition are monochlorosilane (MCS) and monochloroalkylsilanes. The method is preferably carried out by using plasma enhanced atomic layer deposition, plasma enhanced chemical vapor deposition, and plasma enhanced cyclic chemical vapor deposition.
    Type: Grant
    Filed: June 2, 2009
    Date of Patent: October 30, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Liu Yang, Xinjian Lei, Bing Han, Manchao Xiao, Eugene Joseph Karwacki, Jr., Kazuhide Hasebe, Masanobu Matsunaga, Masato Yonezawa, Hansong Cheng
  • Publication number: 20120269988
    Abstract: Provided is a process for producing a multilayer film which, even when bent, is less apt to decrease in barrier property or electrical conductivity. The process comprises forming a barrier film and a transparent conductive film on a resin film to produce a multilayer film. The barrier film is formed by a plasma enhanced CVD method which uses electric discharge between rolls. The transparent conductive film is preferably formed by physical vapor deposition. The resin film preferably is a polyester resin film or a polyolefin resin film.
    Type: Application
    Filed: October 26, 2010
    Publication date: October 25, 2012
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Akira Hasegawa, Toshiya Kuroda, Takashi Sanada
  • Publication number: 20120248975
    Abstract: A moisture-proof film (10) includes a moisture-proof part (12) formed on a surface of a film body (11). The moisture-proof part (12) includes a first layer (11a) made of a silicon oxycarbonitride compound containing carbon atoms in a composition thereof, and a second layer (11b) made of a silicon oxynitride compound which, in a composition thereof, contains carbon atoms less than those of the first layer (11a) or does not contain carbon atoms, and having a density higher than that of the first layer (11a). The first and second layers (11a, 11b) are stacked adjoining each other. The first layer (11a) has a density increasing toward the second layer (11b).
    Type: Application
    Filed: December 9, 2010
    Publication date: October 4, 2012
    Applicant: Sharp Kabushiki Kaisha
    Inventor: Manabu Niboshi
  • Patent number: 8273419
    Abstract: A method of forming a gas barrier layer comprises: forming a first layer over a substrate by plasma-enhanced CVD at a first pressure, at least a part of a surface of the substrate being made of an organic material; and forming a second layer on the first layer by plasma-enhanced CVD at a second pressure which is lower than the first pressure.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: September 25, 2012
    Assignee: FUJIFILM Corporation
    Inventor: Toshiya Takahashi