Silicon Containing Coating Material Patents (Class 427/578)
  • Patent number: 7429410
    Abstract: An apparatus and method for supporting a substantial center portion of a gas distribution plate is disclosed. At least one support member is capable of engaging and disengaging the diffuser with a mating connection without prohibiting flow of a gas or gasses through the diffuser and is designed to provide vertical suspension to a diffuser that is supported at its perimeter, or capable of supporting the diffuser without a perimeter support. In one aspect, the at least one support member is a portion of a gas delivery conduit and in another embodiment is a plurality of support members separated from the gas delivery conduit. The at least one support member is capable of translating vertical lift, or vertical compression to a center area of the diffuser. A method and apparatus for controlling gas flow from the gas delivery conduit to the gas distribution plate is also disclosed.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: September 30, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ernst Keller, John M. White, Robin L. Tiner, Jiri Kucera, Soo Young Choi, Beom Soo Park, Michael Starr
  • Patent number: 7422776
    Abstract: Low K dielectric films exhibiting low mechanical stress may be formed utilizing various techniques in accordance with the present invention. In one embodiment, carbon-containing silicon oxide films are formed by plasma-assisted chemical vapor deposition at low temperatures (300° C. or less). In accordance with another embodiment, as-deposited carbon containing silicon oxide films incorporate a porogen whose subsequent liberation reduces film stress.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: September 9, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Lihua Li Huang, Francimar Schmitt, Li-Qun Xia
  • Publication number: 20080210290
    Abstract: A plasma inside vapor deposition apparatus for making silicon thin film solar cell modules including means for supporting a substrate, the substrate having an outer surface and an inner surface; plasma torch means located proximal to the inner surface for depositing at least one thin film layer on the inner surface of the substrate, the plasma torch means located a distance from the substrate; and means for supplying reagent chemicals to the plasma torch means, wherein the at least one thin film layer form the silicon thin film solar cell modules.
    Type: Application
    Filed: April 15, 2008
    Publication date: September 4, 2008
    Inventors: Dau Wu, Charles DeLuca
  • Publication number: 20080196664
    Abstract: A process for fabricating an amorphous diamond-like film layer for protection of a moisture or oxygen sensitive electronic device is described. The process includes forming a plasma from silicone oil, depositing an amorphous diamond-like film layer from the plasma, and combining the amorphous diamond-like film layer with a moisture or oxygen sensitive electronic device to form a protected electronic device. Articles including the amorphous diamond-like film layer on an organic electronic device are also disclosed.
    Type: Application
    Filed: February 21, 2007
    Publication date: August 21, 2008
    Inventors: Moses M. DAVID, Fred B. McCormick, Mark A. Roehrig
  • Patent number: 7396570
    Abstract: Chemical vapor deposition methods of forming titanium silicide including layers on substrates are disclosed. TiCl4 and at least one silane are first fed to the chamber at or above a first volumetric ratio of TiCl4 to silane for a first period of time. The ratio is sufficiently high to avoid measurable deposition of titanium silicide on the substrate. Alternately, no measurable silane is fed to the chamber for a first period of time. Regardless, after the first period, TiCl4 and at least one silane are fed to the chamber at or below a second volumetric ratio of TiCl4 to silane for a second period of time. If at least one silane was fed during the first period of time, the second volumetric ratio is lower than the first volumetric ratio. Regardless, the second feeding is effective to plasma enhance chemical vapor deposit a titanium silicide including layer on the substrate.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: July 8, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Irina Vasilyeva, Ammar Derraa, Philip H. Campbell, Gurtej S. Sandhu
  • Patent number: 7390537
    Abstract: Methods of preparing a carbon doped oxide (CDO) layer with a low dielectric constant and low residual stress are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to a chemical precursor having molecules with at least one carbon-carbon triple bond, followed by igniting and maintaining a plasma in a deposition chamber using radio frequency power having high and low frequency components or one frequency component only, and depositing the carbon doped oxide film under conditions in which the resulting dielectric layer has a compressive stress or a tensile stress of not greater than, e.g., about 50 MPa, and dielectric constant of less than 3.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: June 24, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Dong Niu, Haiying Fu
  • Publication number: 20080124479
    Abstract: Process includes providing a silicide-containing bond coat layer overlying a silicon-containing substrate, optionally forming a silica scale layer adjacent to and overlying the bond coat layer by preoxidizing the bond coat layer, and providing an environmental barrier coating overlying the bond coat layer. The environmental barrier coating includes a corrosion resistant outer layer formed by reacting a metal source with the silica scale layer, if present, or with the silicide-containing substrate in the absence of the silica scale layer. The process may include providing a thermal barrier coating overlying the corrosion resistant outer layer. The silicon-containing substrate may include at least a portion of a gas turbine engine component selected from a turbine blade, vane, and blisk.
    Type: Application
    Filed: February 5, 2008
    Publication date: May 29, 2008
    Inventors: Brian Thomas Hazel, Irene Spitsberg, Brett Allen Boutwell
  • Publication number: 20080118734
    Abstract: A method for forming an active material containing coating on a substrate, which method comprises the steps of: i) introducing one or more gaseous or atomised liquid and/or solid coating-forming materials which undergo chemical bond forming reactions within a plasma environment and one or more active materials which substantially do not undergo chemical bond forming reactions within a plasma environment, into an atmospheric or low pressure non-thermal equilibrium plasma discharge and/or an excited gas stream resulting therefrom, and ii) exposing the substrate to the resulting mixture of atomised coating-forming and at least one active material which are deposited onto the substrate surface to form a coating; wherein the substrate is not a wipe, cloth or sponge for household care or depilatory care or a water solute household cleaning unit dose product.
    Type: Application
    Filed: May 13, 2005
    Publication date: May 22, 2008
    Applicant: DOW CORNING IRELAND LTD.
    Inventors: Andrew James Goodwin, Stuart Robert Leadley, Liam O'Neill, Paul John Duffield, Malcolm Tom McKechnie, Simon Pugh
  • Publication number: 20080118662
    Abstract: An amorphous silicon (Si) film is taken to form a metal silicide of Si—Al(aluminum) under a high temperature. Al atoms is diffused into the amorphous Si film for forming the metal silicide of Si—Al as nucleus site. Then through heating and annealing, a microcrystalline or nano-crystalline silicon thin film is obtained. The whole process is only one process and is done in only one reacting chamber.
    Type: Application
    Filed: November 22, 2006
    Publication date: May 22, 2008
    Applicant: High Power-Factor AC/DC Converter with Parallel Power Processing
    Inventors: Tsun-Neng Yang, Shan-Ming Lan
  • Patent number: 7371427
    Abstract: Unwanted hillocks arising in copper layers due to formation of overlying barrier layers may be significantly reduced by optimizing various process parameters, alone or in combination. A first set of process parameters may be controlled to pre-condition the processing chamber in which the barrier layer is deposited. A second set of process parameters may be controlled to minimize energy to which a copper layer is exposed during removal of CuO prior to barrier deposition. A third set of process parameters may be controlled to minimize the thermal budget after removal of the copper oxide.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: May 13, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Nagarajan Rajagopalan, Meiyee Shek, Kegang Huang, Bok Hoen Kim, Hichem M'saad, Thomas Nowak
  • Publication number: 20080107825
    Abstract: A film deposition method by a film deposition apparatus having a process container provided with a holding table to hold a substrate to be processed therein and a showerhead part to which a radio frequency electric power for exciting plasma in the process container is applied, the method including: a film deposition process of forming a thin film containing a metal on the substrate to be processed; and a protective film forming process of forming a protective film containing a different metal on the showerhead before a film forming process.
    Type: Application
    Filed: December 12, 2005
    Publication date: May 8, 2008
    Applicant: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Atsushi Gomi, Satoshi Wakabayashi
  • Patent number: 7361302
    Abstract: The present invention relates to a metallic coating to be deposited on gas turbine engine components. The metallic coating comprises up to 18 wt % cobalt, 3.0 to 18 wt % chromium, 5.0 to 15 wt % aluminum, 0.1 to 1.0 wt % yttrium, up to 0.6 wt % hafnium, up to 0.3 wt % silicon, 3.0 to 10 wt % tantalum, up to 9.0 wt % tungsten, 1.0 to 6.0 wt % rhenium, up to 10 wt % molybdenum, and the balance nickel.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: April 22, 2008
    Assignee: United Technologies Corporation
    Inventors: Russell Albert Beers, Allan A. Noetzel, Abdus Khan
  • Patent number: 7348041
    Abstract: A low refractive index SiO2 film is provided which uses a starting material for forming an SiO2 film and has a lower refractive index than the conventional SiO2 film. A starting material gas comprising a gas containing a fluorine atom, a gas containing a silicon atom and an alkyl group having 1 to 4 carbon atoms or an alkyl group having 1 to 4 carbon atoms with a part or the whole of hydrogen atoms substituted by a fluorine atom, and a gas containing an oxygen atom is subjected to plasma CVD in a vacuum chamber 1 to form an SiO2 film on a web 2 in a plasma zone 5.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: March 25, 2008
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Koji Ichimura
  • Patent number: 7344996
    Abstract: Plasma etch processes incorporating helium-based etch chemistries can remove dielectric a semiconductor applications. In particular, high density plasma chemical vapor etch-enhanced (deposition-etch-deposition) gap fill processes incorporating etch chemistries which incorporate helium as the etchant that can effectively fill high aspect ratio gaps while reducing or eliminating dielectric contamination by etchant chemical species.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: March 18, 2008
    Assignee: Novellus Systems, Inc.
    Inventors: Chi-I Lang, Wenxian Zhu, Ratsamee Limdulpaiboon, Judy H. Huang
  • Publication number: 20080063811
    Abstract: A method of adjusting a surface characteristic of a substrate is provided, which includes the following steps. A substrate is provided. An atmosphere pressure plasma process is performed on the surface of the substrate to form a film layer on the surface of the substrate, so as to adjust the surface energy of the substrate, wherein a process gas of the atmosphere pressure plasma process includes a surface modifying precursor, a carrier gas and a plasma ignition gas. In particular, the surface modifying precursor is selected from fluorosilane, polysiloxane and a combination thereof, and the ratio of fluorosilane to polysiloxane is between 0 and 1.
    Type: Application
    Filed: January 15, 2007
    Publication date: March 13, 2008
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chau-Hui Wang, Tsung-Hui Cheng, Hsun-Yu Li, Hsin-Ching Kao
  • Publication number: 20080063812
    Abstract: The present invention relates to a method for manufacturing an optical preform by carrying out one or more chemical vapor deposition reactions in a substrate tube. The method includes the steps of (i) supplying one or more doped or undoped glass-forming precursors to a substrate tube and (ii) effecting a reaction between these glass-forming precursors to form one or more glass layers on the interior of the substrate tube via the creation of a pulsed plasma zone in the interior of the substrate tube.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 13, 2008
    Applicant: DRAKA COMTEQ B.V.
    Inventors: Rob Hubertus Matheus Deckers, Mattheus Jacobus Nicolaas Van Stralen, Johannes Antoon Hartsuiker
  • Publication number: 20080032063
    Abstract: A plasma deposition apparatus is provided. The plasma deposition apparatus comprises a chamber. A pedestal is placed in the chamber. A plasma generator is placed in the chamber and over the pedestal. The plasma generator comprises a plasma jet for plasma thin film deposition having a discharge direction angle ?1 of 0° to 90° between a normal direction of the pedestal and the discharge direction of the plasma jet. A gas-extracting apparatus is placed in the chamber and over the pedestal. The gas-extracting apparatus comprises a gas-extracting pipe providing a pumping path for particles and side-products having a pumping direction angle ?2 of 0° to 90° between the normal direction of the pedestal and the pumping direction of the gas-extracting pipe.
    Type: Application
    Filed: December 21, 2006
    Publication date: February 7, 2008
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUE
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Shin-Chih Liaw, Chun-Hung Lin
  • Patent number: 7297376
    Abstract: A method for depositing a low dielectric constant film is provided by positioning a substrate within a processing chamber having a powered electrode, and flowing into the processing chamber an initiation gas mixture of a flow rate of one or more organosilicon compounds and a flow rate of one or more oxidizing gases to deposit an initiation layer by applying an RF power to the electrode. The organosilicon compound flow rate is then ramped-up to a final flow rate to deposit a first transition layer, upon which one or more porogen compounds is introduced and the flow rate porogen compound is ramped up to a final deposition rate while depositing a second transition layer. A porogen doped silicon oxide layer is then deposited by flowing the final porogen and organosilicon flow rates until the RF power is turned off.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: November 20, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Kelvin Chan, Nagarajan Rajagopalan, Josephine Ju-Hwei Chang Liu, Sang H. Ahn, Yi Zheng, Sang In Yi, Vu Ngoc Tran Nguyen, Alexandros T. Demos
  • Patent number: 7288284
    Abstract: A method for seasoning a process chamber is disclosed. The seasoning method includes providing a seasoning film on the interior surfaces of a process chamber, typically after cleaning of the chamber.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: October 30, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lih-Ping Li, Yung-Chen Lu
  • Publication number: 20070243386
    Abstract: Disclosed herein is a method of manufacturing multi-layered thin films having different physical properties on a base material using a plasma-enhanced chemical vapor deposition (PECVD) process. The method includes changing a plasma frequency to be applied, while not changing a composition ratio of a mixed gas for plasma generation, to sequentially form thin films corresponding to a plasma composition of the plasma frequency.
    Type: Application
    Filed: April 9, 2007
    Publication date: October 18, 2007
    Inventors: Hyun Jung Park, Ji-Weon Jeong
  • Patent number: 7264849
    Abstract: A chemical vapor deposition method includes a step of maintaining a hydrogen plasma at low pressure in a processing chamber. The processing chamber has a long, wide, thin geometry to favor deposition of thin-film silicon on sheet substrates over the chamber walls. The sheet substrates are moved through between ends. A pair of opposing radio frequency electrodes above and below the workpieces are electrically driven hard to generate a flat, pancaked plasma cloud in the middle spaces of the processing chamber. A collinear series of gas injector jets pointed slightly up on a silane-jet manifold introduce 100% silane gas at high velocity from the side in order to roll the plasma cloud in a coaxial vortex. A second such silane-jet manifold is placed on the opposite side and pointed slightly down to further help roll the plasma and maintain a narrow band of silane concentration.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: September 4, 2007
    Assignee: OptiSolar, Inc.
    Inventors: Marvin S Keshner, Warren B. Jackson, Krzysztof Nauka
  • Patent number: 7264850
    Abstract: A process for depositing a diamond-like carbon film, which comprises providing a means for generating a sheet-like beam-type plasma region inside a vacuum vessel for depositing the diamond-like carbon film, and depositing the film on a substrate being moved through said plasma region. Also claimed is an apparatus for fabricating a magnetic recording medium by sequentially and continuously forming a magnetic layer and a diamond-like carbon film on a polymer substrate material, which comprises at least a first vacuum vessel for forming the magnetic layer of the magnetic recording medium and a second vacuum vessel for forming the diamond-like carbon film, provided that the pressure difference between the operation pressures for the first vessel and the second vessel is set in the range of from 10?2 to 10?5 Torr.
    Type: Grant
    Filed: October 5, 1999
    Date of Patent: September 4, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kenji Itoh, Shigenori Hayashi
  • Patent number: 7238393
    Abstract: A method for depositing a silicon carbide layer onto a substrate comprises providing a silicon and carbon source gas and an inert gas into a reaction zone. The reaction zone contains the substrate. The method further comprises producing an electric field in the reaction zone. The electric field is generated using low and high frequency RF energy produced by an RF power supply. The RF power supply generates power at an electrode surface used for plasma discharge in the reaction zone. The method further comprises reacting the silicon and carbon source gas to deposit a silicon carbide film on the substrate. The RF power supply generates high energy RF power and low energy RF power during a processing period.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: July 3, 2007
    Assignee: ASM Japan K.K.
    Inventors: Kamal Kishore Goundar, Tadashi Kumakura, Kiyoshi Satoh
  • Patent number: 7229935
    Abstract: A method for forming a thin film includes: supplying an additive gas, a dilution gas, and a silicon-containing source gas into a reaction chamber wherein a substrate is placed; forming a thin film on the substrate by plasma CVD under a given pressure with a given intensity of radio-frequency (RF) power from a first point in time to a second point in time; at the second point in time, stopping the supply of the silicon-containing source gas; and at the second point in time, beginning reducing but not stopping the RF power, and beginning reducing the pressure, wherein the reduction of the RF power and the reduction of the pressure are synchronized up to a third point in time.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: June 12, 2007
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Kenichi Kagami, Manabu Kato
  • Patent number: 7223446
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: May 29, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo
  • Patent number: 7166335
    Abstract: A layer formation method is disclosed which comprises supplying gas to a discharge space, exciting the supplied gas at atmospheric pressure or at approximately atmospheric pressure by applying a high frequency electric field across the discharge space, and exposing a substrate to the excited gas, wherein the high frequency electric field is an electric field in which a first high frequency electric field and a second high frequency electric field are superposed, frequency ?2 of the second high frequency electric field is higher than frequency ?1 of the first high frequency electric field, strength V1 of the first high frequency electric field, strength V2 of the second high frequency electric field and strength IV of discharge starting electric field satisfy relationship V1?IV>V2 or V1>IV?V2, and power density of the second high frequency electric field is not less than 1 W/cm2.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: January 23, 2007
    Assignee: Konica Corporation
    Inventors: Kazuhiro Fukuda, Yasuo Morohoshi, Akira Nishiwaki, Yoshikazu Kondo, Yoshiro Toda, Kiyoshi Oishi
  • Patent number: 7147900
    Abstract: A silicon-containing insulation film is formed on a substrate by plasma reaction using a reaction gas including (i) a source gas comprising a silicon-containing hydrocarbon compound containing multiple cross-linkable groups, (ii) a cross-linking gas, and (iii) an inert gas, into a reaction chamber where a substrate is placed. The insulation film is then exposed to electron beam radiation, thereby increasing mechanical strength of the film without substantial alternation of its dielectric constant.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: December 12, 2006
    Assignee: ASM Japan K.K.
    Inventors: Naoto Tsuji, Atsuki Fukazawa, Nobuo Matsuki, Shingo Ikeda
  • Patent number: 7144606
    Abstract: The present invention generally provides improved adhesion and oxidation resistance of carbon-containing layers without the need for an additional deposited layer. In one aspect, the invention treats an exposed surface of carbon-containing material, such as silicon carbide, with an inert gas plasma, such as a helium (He), argon (Ar), or other inert gas plasma, or an oxygen-containing plasma such as a nitrous oxide (N2O) plasma. Other carbon-containing materials can include organic polymeric materials, amorphous carbon, amorphous fluorocarbon, carbon containing oxides, and other carbon-containing materials. The plasma treatment is preferably performed in situ following the deposition of the layer to be treated. Preferably, the processing chamber in which in situ deposition and plasma treatment occurs is configured to deliver the same or similar precursors for the carbon-containing layer(s). However, the layer(s) can be deposited with different precursors.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: December 5, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Judy Huang
  • Patent number: 7112453
    Abstract: This invention provides methods of retentate chromatography for resolving analytes in a sample. The methods involve adsorbing the analytes to a substrate under a plurality of different selectivity conditions, and detecting the analytes retained on the substrate by desorption spectrometry. The methods are useful in biology and medicine, including clinical diagnostics and drug discovery.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: September 26, 2006
    Assignee: Ciphergen Biosystems, Inc.
    Inventors: T. William Hutchens, Tai-Tung Yip
  • Patent number: 7087271
    Abstract: A low dielectric constant hydrogenated silicon-oxycarbide (SiCO:H) film is prepared by bringing an organosilicon or organosilicate compound having at least one vinyl or ethynyl group, or a mixture of a saturated organosilicon or organosilicate compound and an unsaturated hydrocarbon into contact with a substrate in the presence of an O2-containing gas plasma.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 8, 2006
    Assignee: Postech Foundation
    Inventors: Shi-Woo Rhee, Sang-Ki Kwak
  • Patent number: 7078356
    Abstract: A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide comprising interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the carbon comprising dielectric layer, it is exposed to a plasma comprising oxygen effective to reduce the dielectric constant to below what it was prior to said exposing. A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. In a chamber, an interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is plasma enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7074461
    Abstract: A hydrogenated SiOC thin film fabrication method includes supplying bis-trimethylsilylmethane and oxygen retaining gas to a wafer installed inside a reaction channel through one supply pipe, simultaneously or sequentially supplying hydrogen to the wafer through another supply pipe, applying RF power in the range of 100 W˜2000 W while supplying those gases and generating a plasma of those gases. During a post process such as oxygen ashing, carbon loss of SiOC film is minimized due to the supplied hydrogen.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: July 11, 2006
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Young Suk Lee
  • Patent number: 7052552
    Abstract: A method and apparatus are disclosed for depositing a dielectric film in a gap having an aspect ratio at least as large as 6:1. By cycling the gas chemistry of a high-density-plasma chemical-vapor-deposition system between deposition and etching conditions, the gap may be substantially 100% filled. Such filling is achieved by adjusting the flow rates of the precursor gases such that the deposition to sputtering ratio during the deposition phases is within certain predetermined limits.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: May 30, 2006
    Assignee: Applied Materials
    Inventors: Michael Kwan, Eric Liu
  • Patent number: 7022864
    Abstract: An organosilicon precursor for vapor deposition, e.g., low pressure (<100 Torr), plasma-enhanced chemical vapor deposition (PECVD) of a low k, high strength dielectric film, wherein the precursor includes at least one of: (i) silicon-pendant oxiranyl functionality; and (ii) a disilyl moiety of the formula wherein x is an integer having a value of from 0 to 4 inclusive. These precursors are useful for the formation of dielectric films having dielectric constants on the order of ˜3 and less, and a hardness exceeding ˜1 GigaPascals.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: April 4, 2006
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Alexander S. Borovik, Chongying Xu, Thomas H. Baum, Steven Bilodeau, Jeffrey F. Roeder, Abigail Ebbing, Daniel Vestyck
  • Patent number: 6962732
    Abstract: Processes for controlling thickness uniformity of thin organosilicate films as they are deposited on a substrate, and as they finally result. During deposition of the film, which may be accomplished by CVD, PECVD, rapid thermal processing or the like, the substrate temperature is controlled to establish a temperature profile particularly suited to the extreme temperature sensitivities of the deposition rates of organosilicate films such as those deposited from TEOS as a source material.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: November 8, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Takako Takehara, William R. Harshbarger
  • Patent number: 6953608
    Abstract: A HDP CVD process for depositing a USG liner followed by a FSG dielectric layer on a metal line pattern is described. The substrate is heated in a chamber with a plasma comprised of Ar and O2. A USG liner is deposited in two steps wherein the first step is without an RF bias and the second step is with a moderate RF bias that does not damage the metal lines or an anti-reflective coating on the metal. The moderate RF bias is critical in forming a sputtering component that redeposits USG to form more uniform sidewalls and better coverage at top corners of metal lines. The USG deposition process has a good gap filling capability and significantly reduces device failure rate by preventing corrosion of metal lines during subsequent thermal process cycles. The method also includes a PECVD deposited FSG layer that is planarized to complete an IMD layer.
    Type: Grant
    Filed: April 23, 2003
    Date of Patent: October 11, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pong-Hsiung Leu, Yu-Min Chang, Fang-Wen Tsai, Jo-Wei Chen, Wan-Cheng Yang, Chyi-Tsong Ni
  • Patent number: 6936310
    Abstract: In a plasma processing method making use of a plasma processing gas of a reactant gas and an inert gas, it is aimed at enhancing an efficiency of use of high-frequency power and a reactant gas to increase a processing rate. The plasma processing method comprises supplying high frequency power to an electrode 2 opposed to a substrate 6 to thereby generate plasma between the electrode 2 and the substrate 6 on the basis of a plasma processing gas comprising a reactant gas and an inert gas to perform film formation, etching, surface treatment or the like on the substrate 6, pressure P(Torr) of the plasma processing gas being set to satisfy the following relationship 2×10?7(Torr/Hz)×f(Hz)?P(Torr)?500(Torr) where f(Hz) is a frequency of high frequency power.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: August 30, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hiroaki Takeuchi, Tohru Okuda
  • Patent number: 6926926
    Abstract: A SiC-based layer is deposited on a substrate having an electrical resistivity between about 1 and 100 ? cm. The substrate is disposed in a process chamber. A gaseous mixture having a silicon-containing gas and a hydrocarbon-containing gas is flowed to the process chamber. A high-density plasma, having an ion density greater than about 1011 ions/cm3 is generated from the plasma. A small electrical bias, between about 0.65 and 1.30 W/cm2, is applied to the substrate. The low bias compensates for an unexpected cooling that results when depositing the SiC-based layer but is low enough that implantation of hydrogen is minimized.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: August 9, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Seon-Mee Cho, Hichem M'Saad, Farhad Moghadam
  • Patent number: 6926932
    Abstract: A method for forming a silicon oxide layer in the production of the polysilicon film transistor is disclosed. A plasma surface treatment is performed over a substrate after an amorphous silicon layer has been formed on the substrate by PECVD to transform a portion of the amorphous silicon layer into a superficial oxide layer.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: August 9, 2005
    Assignee: Toppoly Optoelectronics Corp.
    Inventor: Hui-Chu Lin
  • Patent number: 6919107
    Abstract: In a process for treating a surface with the aid of a glow discharge plasma sustained in a gas of substantially ambient pressure between two electrodes (10, 10?) unwanted effects of plasma filaments occurring in such a plasma are prevented by positioning the surface (17) to be treated in an edge region (14?) of the plasma. The surface is placed on one side of a plasma space (14) defined by the electrode faces (11, 11?) beyond a pair of aligned edges (13, 13?) of the electrode faces (11, 11?), at a distance of a few millimeters from these edges (13, 13?), and facing these edges (13, 13?). The treatment gas or treatment gas mixture is fed to the plasma space (14) from a second side opposite the edge region (14?) in which the surface (17) to be treated is positioned. During treatment, a substrate (16) whose one surface (17) is to be treated is either stationary or is advanced in a direction substantially perpendicular to the electrode faces (11, 11?).
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: July 19, 2005
    Assignee: Tetra Pak (Suisse) S.A.
    Inventors: Walter Schwarzenbach, Bertrand Roessler, Pierre Fayet
  • Patent number: 6916511
    Abstract: A method of forming a hardened nano-imprinting stamp is disclosed. The hardened nano-imprinting stamp includes a plurality of silicon-based nano-sized features that have an hardened shell of silicon carbide, silicon nitride, or silicon carbide nitride. The hardened shell is made harder than the underlying silicon by a plasma carburization and/or a plasma nitridation process. During the plasma process atoms of carbon and/or nitrogen bombard and penetrate a plurality of exposed surfaces of the nano-sized features and chemically react with the silicon to form the hardened shell of silicon carbide, silicon nitride, or silicon carbide nitride.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: July 12, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Heon Lee, Gun-Young Jung
  • Patent number: 6911233
    Abstract: A thin film deposition method using plasma enhanced chemical vapor deposition is described. In a plasma enhanced chemical vapor deposition chamber, plasma is used to enhance the chemical reaction to form a thin film on a substrate. The substrate is then removed, followed by passing a cleaning gas into the chamber to remove residues in the chamber. Before loading another batch of substrate in the chamber, a pre-deposition process is performed to isolate contaminants generated from the cleaning process. A discharge plasma treatment is then conducted to lower the amount of accumulated electrical charges.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: June 28, 2005
    Assignee: Toppoly Optoelectronics Corp.
    Inventor: Frank Lin
  • Patent number: 6887514
    Abstract: To deposit optical quality films by PECVD (Plasma Enhanced Chemical Vapor Deposition), a six-dimensional space wherein five dimensions thereof correspond to five respective independent variables of which a set of four independent variables relate to the flow-rate of respective gases, a fifth independent variable relates to total pressure, and a six dimension relates to observed FTIR characteristics is first created. Then an optical film is deposited while maintaining three of the set of four independent variables substantially constant as well as the fifth independent variable, and varying a fourth of the set of four independent variables to obtain desired characteristics in the sixth dimension.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: May 3, 2005
    Assignee: Dalsa Semiconductor Inc.
    Inventors: Luc Ouellet, Jonathan Lachance, Manuel Grondin, Stephane Blain
  • Patent number: 6855484
    Abstract: A method of forming a silicon carbide layer for use in integrated circuits is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a nitrogen source in the presence of an electric field. The as-deposited silicon carbide layer incorporates nitrogen therein from the nitrogen source.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: February 15, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana, Srinivas Nemani, Michael Chapin, Shankar Venkataraman
  • Patent number: 6846515
    Abstract: A method for providing a porous organosilica glass (OSG) film that consists of a single phase of a material represented by the formula SivOwCxHyFz, v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 30 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %, wherein the film has pores and a dielectric constant less than 2.6 is disclosed herein. In one aspect of the present invention, the film is provided by a chemical vapor deposition method in which a preliminary film is deposited from organosilane and/or organosiloxane precursors and pore-forming agents (porogens), which can be independent of, or alternatively bonded to, the precursors. The porogens are subsequently removed to provide the porous film. In another aspect of the present invention, porogenated precursors are used for providing the film.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: January 25, 2005
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Manchao Xiao, John Anthony Thomas Norman
  • Patent number: 6838127
    Abstract: An HSG-Si layer is formed on a wafer under a uniform temperature condition. An apparatus for forming the HSG-Si layer includes a housing forming a process chamber, a first heater on which the wafer is positioned fixed in place at the bottom of the process chamber, a second heater at the top of the process chamber, and a thermal insulator which prevents the heat generated by the first heater from being transferred to the outside of the process chamber. A temperature control system regulates the temperature of the heaters. A method of forming the HSG layer includes steps of placing the wafer on the first heater, using the heaters to remove moisture from the wafer, injecting a source gas of the HSG-Si toward the upper surface of the wafer to form amorphous silicon on the wafer, and annealing the wafer for a predetermined period of time to transform the amorphous silicon into an HSG-Si layer.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: January 4, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong Young Yun
  • Patent number: 6827987
    Abstract: Provided herein is a method of reducing an electrostatic charge on a substrate during a plasma enhanced chemical vapor deposition process, comprising the step of depositing a conductive layer onto a top surface of a susceptor support plate disposed within a deposition chamber wherein the conductive layer dissipates the electrostatic charge on the bottom surface of the substrate during a plasma enhanced chemical vapor deposition process. Also provided are a method of depositing a thin film during a plasma enhanced chemical vapor deposition process using the methods disclosed herein and a conductive susceptor.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: December 7, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Soo Young Choi, Takako Takehara, William R. Harshbarger
  • Patent number: 6815014
    Abstract: A process for creating plasma polymerized deposition on a substrate by a corona discharge is described. The corona discharge is created between an electrode and a counterelectrode supporting a substrate. A mixture of a balance gas and a working gas is flowed rapidly through the electrode, plasma polymerized by corona discharge, and deposited onto the substrate as an optically clear coating or to create surface modification. The process, which is preferably carried out at or near atmospheric pressure, can be designed to create an optically clear powder-free or virtually powder free deposit of polymerized plasma that provides a substrate with properties such as surface modification, chemical resistance, and barrier to gases.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: November 9, 2004
    Assignee: Dow Global Technologies Inc.
    Inventors: Aaron M. Gabelnick, Richard T. Fox, Ing-Feng Hu, Dmitry P. Dinega
  • Patent number: 6811831
    Abstract: A method is provided which includes creating a plasma from a gas mixture including diatomic nitrogen gas and a gas comprising silicon. In addition, the method includes exposing a microelectronic topography to the plasma to form a silicon nitride layer thereon. In some cases, the method may include forming the silicon nitride layer at a temperature less than approximately 300° C. Furthermore, the method may include subsequently processing the microelectronic topography at a temperature greater than or equal to approximately 250° C. such that a stress change of less than approximately 1.0×1010 dynes/cm2 occurs within the silicon nitride layer. In addition, a microelectronic topography is provided which has a silicon nitride layer with a concentration of diatomic hydrogen that is at least one order of magnitude lower than a concentration of diatomic hydrogen within a silicon nitride layer formed from a plasma generated from ammonia.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: November 2, 2004
    Assignee: Silicon Magnetic Systems
    Inventors: William C. Koutny, Helen L. Chung
  • Publication number: 20040213920
    Abstract: A layer formation method is disclosed which comprises supplying gas to a discharge space, exciting the supplied gas at atmospheric pressure or at approximately atmospheric pressure by applying a high frequency electric field across the discharge space, and exposing a substrate to the excited gas, wherein the high frequency electric field is an electric field in which a first high frequency electric field and a second high frequency electric field are superposed, frequency &ohgr;2 of the second high frequency electric field is higher than frequency &ohgr;1 of the first high frequency electric field, strength V1 of the first high frequency electric field, strength V2 of the second high frequency electric field and strength IV of discharge starting electric field satisfy relationship V1≧IV>V2 or V1>IV≧V2, and power density of the second high frequency electric field is not less than 1 W/cm2.
    Type: Application
    Filed: May 7, 2004
    Publication date: October 28, 2004
    Applicant: Konica Corporation
    Inventors: Kazuhiro Fukuda, Yasuo Morohoshi, Akira Nishiwaki, Yoshikazu Kondo, Yoshiro Toda, Kiyoshi Oishi