Silicon Containing Coating Material Patents (Class 427/578)
  • Publication number: 20110189407
    Abstract: A coating process using a plasma beam is provided, wherein the coating contains nanozeolites loaded with dye.
    Type: Application
    Filed: July 7, 2009
    Publication date: August 4, 2011
    Inventors: Andreas Pfuch, Michael Droessler, Kerstin Horn, Andreas Heft
  • Publication number: 20110175487
    Abstract: The invention relates to a method for producing a dielectric layer (3) in an electroacoustic component (1), in particular a component operating with acoustic surface waves or bulk acoustic waves, comprising a substrate and an associated electrode structure, in which the dielectric layer (3) is formed at least in part by depositing by a thermal vapour deposition process at least one evaporation material selected from the following group of layer vaporising materials: vapour deposition glass material such as borosilicate glass, silicon nitride and aluminium oxide. The invention further relates to an electroacoustic component.
    Type: Application
    Filed: July 23, 2009
    Publication date: July 21, 2011
    Inventors: Ulli Hansen, Jürgen Leib, Simon Maus
  • Publication number: 20110165057
    Abstract: To provide a plasma CVD device capable of increasing voltage VDC that is a DC component generated at the electrode during high-frequency discharge in CVD deposition. The plasma CVD device according to the present invention includes a chamber 1, a holding electrode 2 disposed in the interior of the chamber and adapted for holding a substrate on which a film is to be deposited, a high frequency power supply 8 connected electrically with the holding electrode, a counter electrode 12 disposed opposite to the substrate on which a film is to be deposited held by the holding electrode and connected with an earth power supply or a float power supply, a raw material gas supply mechanism for supplying a raw material gas into a space 13 between the counter electrode and the holding electrode, and an evacuation mechanism for evacuating the interior of the chamber, wherein the surface area “a” of the holding electrode and the surface area “b” of the counter electrode satisfy a formula below, b/a?2.
    Type: Application
    Filed: June 30, 2009
    Publication date: July 7, 2011
    Inventors: Yuuji Honda, Takeharu Kawabe, Haruhito Hayakawa, Koji Abe
  • Patent number: 7972663
    Abstract: A method of forming a silicon nitride layer is described. According to the present invention, a silicon nitride layer is deposited by thermally decomposing a silicon/nitrogen containing source gas or a silicon containing source gas and a nitrogen containing source gas at low deposition temperatures (e.g., less than 550° C.) to form a silicon nitride layer. The thermally deposited silicon nitride layer is then treated with hydrogen radicals to form a treated silicon nitride layer.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: July 5, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shulin Wang, Errol Antonio C. Sanchez, Aihua (Steven) Chen
  • Publication number: 20110159213
    Abstract: A method of forming a silicon oxide layer is described. The method may include the steps of mixing a carbon-free silicon-containing precursor with a radical-nitrogen precursor, and depositing a silicon-and-nitrogen-containing layer on a substrate. The radical-nitrogen precursor is formed in a plasma by flowing ammonia and nitrogen (N2) and/or hydrogen (H2) into the plasma in order to allow adjustment of the nitrogen/hydrogen ratio. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.
    Type: Application
    Filed: October 15, 2010
    Publication date: June 30, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Xiuyu Cai, Yue Zhao, Abhijit Basu Mallick, Nitin K. Ingle, Shankar Venkataraman
  • Publication number: 20110148050
    Abstract: The present invention relates to a sealing article comprising an elastomeric and/or polymeric substrate and a plasma polymeric coating arranged thereon and consisting of carbon, silicon, oxygen, hydrogen and (i) fluorine or (ii) no fluorine and optionally usual impurities, the following relationships applying to the substance amount ratios in the plasma polymeric coating: 1.3:1?n(O):n(Si)?3.0:1 0.3:1?n(C):n(Si)?5.0:1 and preferably 0.5:1?(n(H)+n(F)):n(C)?3.0:1.
    Type: Application
    Filed: June 18, 2009
    Publication date: June 23, 2011
    Inventors: Klaus-Dieter Vissing, Gabriele Neese, Alfred Baalmann, Peter Stauga
  • Publication number: 20110151141
    Abstract: A method and apparatus for plasma enhanced chemical vapor deposition to an interior region of a hollow, tubular, high aspect ratio workpiece are disclosed. A plurality of anodes are disposed in axially spaced apart arrangement, to the interior of the workpiece. A process gas is introduced into the region. A respective individualized DC or pulsed DC bias is applied to each of the anodes. The bias excites the process gas into a plasma. The workpiece is biased in a hollow cathode arrangement. Pressure is controlled in the interior region to maintain the plasma. An elongated support tube arranges the anodes, and receives a process gas tube. A current splitter provides a respective selected proportion of a total current to each anode. One or more notch diffusers or chamber diffusers may diffuse the process gas or a plasma moderating gas. Plasma impedance and distribution may be controlled using various means.
    Type: Application
    Filed: December 16, 2010
    Publication date: June 23, 2011
    Applicant: SUB-ONE TECHNOLOGY, INC.
    Inventors: Deepak Upadhyaya, Karthik Boinapally, William J. Boardman, Matthew MaMoody, Thomas B. Casserly, Pankaj Jyoti Hazarika, Duc Doan
  • Patent number: 7959987
    Abstract: A method and apparatus for depositing a material layer to treat and condition a substrate, such as a fuel cell part, is described. The method includes depositing a hydrophilic material layer on a portion of the surface of the substrate in a process chamber from a mixture of precursors of the hydrophilic material layer. In addition, the method includes reducing a fluid contact angle of the substrate surface. The hydrophilic material layer comprises a wet etch rate of less than about 0.03 ?/min in the presence of about 10 ppm of hydrofluoric acid in water. The material layer can be used to condition various parts of a fuel cell useful in applications to generate electricity.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: June 14, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Robert Bachrach, John M. White, Wendell T. Blonigan
  • Publication number: 20110135844
    Abstract: A plasma processing system having at-electrode RF matching and a method for processing substrates utilizing the same is provided. In one embodiment, the plasma processing system includes a chamber body, the substrate support, an electrode, a lid assembly and an RF tuning element. A substrate support is disposed in a processing volume defined in the chamber body. The electrode is positioned above the substrate support and below a cover of the lid assembly. The RF tuning element is disposed between the cover and the electrode and is coupled to the electrode.
    Type: Application
    Filed: November 17, 2010
    Publication date: June 9, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Carl A. Sorensen, John M. White, Jozef Kudela
  • Publication number: 20110129617
    Abstract: A plasma system has at least one inductively coupled high-frequency plasma jet source having a burner body delimiting a plasma generating space having an outlet orifice for the plasma jet, a coil surrounding the plasma generating space in some areas, an inlet for supplying a gas and/or a precursor material into the plasma generating space and a high-frequency generator which is connected to the coil for igniting the plasma and for injecting an electric power into the plasma. The plasma jet source has an electric component using which the intensity of the plasma jet is variable periodically over time. In addition, a method of producing the functional coating on a substrate by using this plasma system is described.
    Type: Application
    Filed: December 5, 2001
    Publication date: June 2, 2011
    Inventors: Stefan Grosse, Sascha Henke, Susanne Spindler
  • Patent number: 7947330
    Abstract: A production method of a film of the present invention is a production method of a film, in which after a polymer base is wound off, metal is evaporated, and an oxygen gas is introduced and the inorganic compound layer is formed in an excitation atmosphere of an organic silicon compound containing gas when an inorganic compound layer is formed on the surface of a polymer base. The production method of a film of the present invention can produce a film having a high gas barrier property against an oxygen gas, a water vapor and the like.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: May 24, 2011
    Assignee: Toray Industries, Inc.
    Inventors: Kusato Hirota, Yasushi Tateishi
  • Patent number: 7947338
    Abstract: In a method of forming an interlayer insulating film by plasma CVD, an organic siloxane compound including one or more silicon atoms each having at least three or more units each represented by a general formula, —O—Si(R1R2)—OR3 (wherein R1 and R2 are the same as or different from each other and are a methyl group, an ethyl group or a propyl group, and R3 is the same as or different from R1 and R2 and is a methyl group, an ethyl group, a propyl group or a phenyl group) is used as a raw material.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: May 24, 2011
    Assignee: Panasonic Corporation
    Inventor: Nobuo Aoi
  • Patent number: 7947339
    Abstract: A process for producing an electrophotographic roller member comprising a roller base member having a conductive mandrel and an elastic layer, and a film on the elastic layer. The process comprises the step of forming the film by plasma CVD. The step comprises the steps of: placing the roller base member in a chamber in such a way that the distance between the surface of the elastic layer and flat-plate electrodes is 20 mm or more to 100 mm or less; feeding a source gas into the chamber so as to have a pressure of from 13.3 Pa or more to 666.6 Pa or less; and applying to an electrode an electric power of from 0.3 W/cm2 or more to 2.0 W/cm2 or less while rotating the roller base member so that the peripheral speed of from 6 mm/s or more to 170 mm/s or less.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: May 24, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenichi Yamauchi, Hidenori Satoh, Genya Anan
  • Patent number: 7943195
    Abstract: A porous organosilica glass (OSG) film consists of a single phase of a material represented by the formula SivOwCxHyFz, where v+w+x+y+z=100%, v is from 10 to 35 atomic %, w is from 10 to 65 atomic %, x is from 5 to 30 atomic %, y is from 10 to 50 atomic % and z is from 0 to 15 atomic %, wherein the film has pores and a dielectric constant less than 2.6. The film is provided by a chemical vapor deposition method in which a preliminary film is deposited from organosilane and/or organosiloxane precursors and pore-forming agents (porogens), which can be independent of, or bonded to, the precursors. The porogens are subsequently removed to provide the porous film. Compositions, such as kits, for forming the films include porogens and precursors. Porogenated precursors are also useful for providing the film.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: May 17, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Mark Leonard O'Neill, Jean Louise Vincent, Aaron Scott Lukas, Manchao Xiao, John Anthony Thomas Norman
  • Publication number: 20110101244
    Abstract: Provided is a treatment apparatus including a target material for generating protons. The treatment apparatus includes a cylindrical bore member having an inner space to receive a patient; a proton generating target material provided to an inner surface of the bore member; and a laser adapted to supply a laser beam to the proton generating target material so that protons are generated from the proton generating target material and projected to a tumor site of the patient. The proton generating target material includes a supporting film and a hydrogenated amorphous silicon (a-Si:H) film provided on the supporting film.
    Type: Application
    Filed: July 28, 2010
    Publication date: May 5, 2011
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Moon Youn JUNG, Nam Soo Myung, Hyun Woo Song, Hyeon-Bong Pyo, Seon-Hee Park, Gun Yong Sung
  • Publication number: 20110097533
    Abstract: This invention discloses a thin film encapsulation method, which applies a PECVD method, deposition thin film on the device surface to separate the devices' active area from the water vapor and oxygen in the air, so as to realize the physical protection and thus to accomplish the device encapsulation, specifically, comprising the following procedures: (1) Placing the devices to be encapsulated in the PECVD chamber, and fixing the mask to control the encapsulation area; (2) Depositing the inorganic layer, the polymer layer and the graded composition layer by using the organic silica precursor through the PECVD method under the plasma condition and obtain the required encapsulation structure.
    Type: Application
    Filed: October 26, 2010
    Publication date: April 28, 2011
    Applicant: SUNA DISPLAYS CO., LTD.
    Inventors: Feng Li, Wenming Su
  • Publication number: 20110097528
    Abstract: A packaging element formed from a plastic with an inorganic barrier layer with good penetration barrier effect against water vapour and gasses, where the packaging element is fitted with a coating generated in a vacuum with materials with the desired penetration barrier effect. The vacuum coating is over-lacquered to protect against abrasion and corrosion and to improve the mechanical stability.
    Type: Application
    Filed: August 28, 2008
    Publication date: April 28, 2011
    Applicant: ALCAN TECHNOLOGY & MANAGEMENT LTD.
    Inventors: Markus Dippel, Wolfgang Lohwasser, Manfred Hoffmann, André Wisard
  • Publication number: 20110091662
    Abstract: The invention relates to a method and a device for the plasma-enhanced deposition of a layer on a substrate (12) by means of a chemical reaction inside a vacuum chamber (11), wherein at least one starting material of the chemical reaction is guided into the vacuum chamber (11) through an inlet (13), and wherein the inlet (13) is connected as an electrode of a gas discharge at least in the region of the inlet opening (18). A magnetron can also be used in the reactive sputtering method.
    Type: Application
    Filed: May 15, 2009
    Publication date: April 21, 2011
    Inventors: Matthias Fahland, Tobias Vogt, Steffen Guenther, John Fahlteich, Waldemar Schoenberger, Alexander Schoenberger
  • Patent number: 7927670
    Abstract: The invention concerns silica microspheres (M) having an outer diameter between 50 and 125 ?m, preferably between 60 and 90 ?m, a wall thickness not less than 1 ?m, preferably between 1 and 3 ?m and a density between 0.3 and 0.7/cm3, a manufacturing method by injecting silica microsphere precursors (MS, PR1, PR1?, PR2?) into an inductive plasma (P), assembly methods and possible uses of silica microspheres.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: April 19, 2011
    Inventor: Sylvain Rakotoarison
  • Publication number: 20110074880
    Abstract: The method forms a liquid-repellent film on a surface of a nozzle plate having nozzle apertures through which droplets of liquid are ejected. The method includes: a termination process step of carrying out a hydrogen termination process or a halogen termination process on a surface of a nozzle plate, at least a portion of the surface of the nozzle plate being made of a material containing silicon; and a liquid-repellent film formation step of forming a liquid-repellent film on the surface of the nozzle plate after the termination process step by bringing a liquid-repellent film raw material into contact with the surface of the nozzle plate while applying energy to the surface. Each molecule constituting the liquid-repellent film raw material has an unsaturated carbon bond at an end and has a liquid-repellent functional group. The liquid-repellent film is bonded to the surface of the nozzle plate through silicon-carbon bonds.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 31, 2011
    Inventor: Hiroki Uchiyama
  • Publication number: 20110063369
    Abstract: A method of forming a non-wetting coating on a fluid ejector includes applying an oxygen plasma to a substrate to form an outer portion of the substrate having a density that is greater than a density of an interior portion of the substrate and depositing a non-wetting coating on an exterior surface of the outer portion. The outer portion is less than 80 ? thick.
    Type: Application
    Filed: September 15, 2009
    Publication date: March 17, 2011
    Inventor: Yoshimasa Okamura
  • Publication number: 20110052909
    Abstract: Device for thermohydraulic applications wherein at least one portion of one surface destined for contact with water is coated with a film comprising at least one layer of a material applied by means of plasma phase polymerization of one or more monomers containing silicone. The relative method for obtaining the device comprises the following phases: to precondition a device for thermohydraulic applications within a vacuum chamber; to bring the vacuum chamber to pressure conditions between 0.01 and 100 Pa; to introduce a first gaseous mixture comprising at least a monomer containing silicone into said chamber; to bring said monomer containing silicone to the plasma state by means of an electromagnetic wave; to maintain the ionization conditions for a period of time sufficient to permit the deposit of a layer of a polymer containing said monomer on at least a portion of a surface of said device.
    Type: Application
    Filed: April 23, 2009
    Publication date: March 3, 2011
    Applicant: MOMA S.R.L.
    Inventor: Roberto Canton
  • Publication number: 20110045205
    Abstract: The invention relates to a method for CVD on a substrate under atmospheric pressure, characterized in that it is assisted by a very-high-frequency plasma generated by a field applicator with an elongated conductor of the micro-ribbon or hollow conducting line type. The invention also relates to the use thereof for applying an electrically conductive inorganic layer on elements of vehicle bodywork, particularly the bumpers.
    Type: Application
    Filed: September 16, 2008
    Publication date: February 24, 2011
    Applicant: L'Air Liquide Societe Anonyme Pour L'Etude Et L'Exploitation Des Procedes Georges Claude
    Inventors: Jean-Christophe Rostaing, Daniel Guerin, Frederic Noel, Helene Daniel
  • Patent number: 7892648
    Abstract: A low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided that includes atoms of Si, C, O, and H in which a fraction of the C atoms are bonded as Si—CH3 functional groups, and another fraction of the C atoms are bonded as Si—R—Si, wherein R is phenyl, —[CH2]n— where n is greater than or equal to 1, HC?CH, C?CH2, C?C or a [S]n linkage, where n is a defined above.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 7887891
    Abstract: A machine (1) for depositing a thin layer of a barrier-effect material inside a container (2) by plasma-enhanced chemical vapor deposition, said machine (1) comprising: a processing unit (4) receiving the container (2) and equipped with an electromagnetic wave generator (11); a precursor gas outlet (17); an injector (13) for injecting said precursor gas into the container (2), said injector (13) having a bottom end (14) that opens out into the container (2) and an opposite top end (15); a precursor gas feed duct (20) that puts the precursor gas outlet (17) into fluid flow connection with the top end (15) of the injector (13); and a solenoid valve (25) interposed in the feed duct (20) between the precursor gas outlet (17) and the injector (13), immediately upstream from the top end (15) of the injector (13).
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: February 15, 2011
    Assignee: Sidel Participations
    Inventor: Jean-Michel Rius
  • Publication number: 20110026891
    Abstract: An optical fiber that concurrently satisfies G.657 and G.652 standards comprises a core region and a cladding region configured to support and guide the propagation of light in a fundamental transverse mode, the cladding region including (i) an outer cladding region having a refractive index nout less than the refractive index n1 of the core region, (ii) an inner cladding region have a refractive index n2 less than nout, (iii) a pedestal (or ring) region having a refractive index n4 approximately equal to nout, (iv) an inner trench region disposed between the inner cladding region and the pedestal region, the inner trench region having a refractive index n3 much less than that of the pedestal region, and (iv) an outer trench region disposed between the pedestal region and the outer cladding region, the outer trench region having a refractive index n5 less than that of the pedestal region and relatively close to that of the outer cladding region.
    Type: Application
    Filed: September 28, 2010
    Publication date: February 3, 2011
    Inventors: John Michael Fini, Robert Lee Lingle, JR., Yi Sun
  • Patent number: 7875315
    Abstract: This disclosure relates generally to polymeric networks of fullerene compounds, to methods of preparing precursors for such networks, and to their subsequent use as low dielectric constant materials in microelectronic devices.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: January 25, 2011
    Assignee: Roskilde Semiconductor LLC
    Inventor: Michael Raymond Ayers
  • Publication number: 20110006040
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 13, 2011
    Inventors: Stephen Edward Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Publication number: 20100330301
    Abstract: A substrate processing apparatus includes a chamber defining a process space where a process is carried out with respect to a substrate, a first supply member located above the process space for supplying a first source gas toward the process space, a plasma source configured to generate an electric field in the process space to create radicals from the first source gas, and a second supply member configured to supply a second source gas above the substrate. The chamber includes a lower chamber in which a support member configured to allow the substrate to be placed thereon is installed. The lower chamber is open at a top thereof. The second supply member is installed at an upper end of the lower chamber for supplying the second source gas in a direction generally parallel to the substrate placed on the support member. The second source gas may be a silicon-containing gas.
    Type: Application
    Filed: February 20, 2009
    Publication date: December 30, 2010
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventor: Il-Kwang Yang
  • Patent number: 7858158
    Abstract: A plasma deposition apparatus for making polycrystalline silicon including a chamber for depositing said polycrystalline silicon, the chamber having an exhaust system for recovering un-deposited gases; a support located within the deposition chamber for holding a target substrate having a deposition surface, the deposition surface defining a deposition zone; at least one induction coupled plasma torch located within the deposition chamber and spaced apart from the support, the at least one induction coupled plasma torch producing a plasma flame that is substantially perpendicular to the deposition surface, the plasma flame defining a reaction zone for reacting at least one precursor gas source to produce the polycrystalline silicon for depositing a layer of the polycrystalline silicon the deposition surface.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: December 28, 2010
    Assignee: Silica Tech, LLC
    Inventors: Mohd A. Aslami, Dau Wu, DeLuca Charles
  • Publication number: 20100316868
    Abstract: A method of forming an easy-to-clean metal or metallized substrate, the method comprising forming a layer comprising silicon, oxygen, and hydrogen on at least a portion of a surface of the substrate by plasma deposition; and applying an at least partially fluorinated composition comprising at least one silane group to at least a portion of a surface of the layer comprising the silicon, oxygen, and hydrogen; and an easy-to-clean article made by the method are disclosed.
    Type: Application
    Filed: October 18, 2007
    Publication date: December 16, 2010
    Inventors: Moses M. David, Steven J. Martin, Rudolf J. Dams, Wayne W. Fan
  • Patent number: 7851030
    Abstract: A method of reducing the number of particles on a low-k material layer is described. The low-k material layer is formed by a plasma enhanced chemical vapor deposition process, wherein a reaction gas, a cleaning gas, a high-frequency power and a low-frequency power are used. The method includes turning off the reaction gas and the low-frequency power after the low-k material layer is formed, and continuing to provide the cleaning gas during a delay time.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: December 14, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Mei-Ling Chen, Chih-Chien Liu
  • Publication number: 20100308509
    Abstract: A method of forming a working mold including: placing a substrate (610) on an electrode in a chamber, the substrate having at least a first structured surface (620) and the substrate including a thermoset polymeric material; introducing a release (630) layer forming gas into the chamber, wherein the release layer forming gas includes silicon containing gas and oxygen gas in an atomic ratio of not greater than about 200; providing power to the electrode to create a plasma of the release layer forming gas within the chamber; and depositing a release layer formed from the release layer forming gas on at least the first structured surface of the substrate to form a working mold.
    Type: Application
    Filed: September 5, 2008
    Publication date: December 9, 2010
    Inventors: Moses M. David, Gouping Mao, Olester Benson, JR., Robert J. DeVoe, Jennifer J. Sahlin
  • Publication number: 20100311249
    Abstract: Embodiments of the disclosure generally provide a method and apparatus for processing a substrate in a vacuum process chamber. In one embodiment a vacuum process chamber is provided that includes a chamber body and lid disposed on the chamber body. A blocker plate is coupled to the lid and bounds a staging plenum therewith. A gas distribution plate is coupled to the lid. The gas distribution plate separates a main plenum defined between the gas distribution plate and the blocker plate from a process volume defined within the chamber body. The gas distribution plate and the blocker plate define a spacing gradient therebetween which influences mixing of gases within the main plenum.
    Type: Application
    Filed: June 6, 2010
    Publication date: December 9, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JOHN M. WHITE, Carl Sorensen, Robin Tiner, Beom Soo Park, Soo Young Choi
  • Patent number: 7846512
    Abstract: A method for producing patterns in a polymer layer. Polymer sites are formed on a support. These sites are subjected to a plasma deposition of dielectric material and preferably react with this plasma so as to form openings at the level of said sites. A pattern structure is then formed in the dielectric material and/or in the polymer.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Frédéric-Xavier Gaillard, Laurent Vandroux
  • Patent number: 7829159
    Abstract: A method of forming an organosilicon oxide film by plasma CVD includes: (i) adjusting a temperature of a susceptor on which a substrate is placed to lower than 300° C.; (ii) introducing at least tetraethylorthosilicate (TEOS) and oxygen into a reactor in which the susceptor is disposed; (iii) applying high-frequency RF power and low-frequency RF power; and (iv) thereby depositing an organosilicon oxide film on the substrate.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: November 9, 2010
    Assignee: ASM Japan K.K.
    Inventor: Ryu Nakano
  • Patent number: 7824159
    Abstract: What disclosed is to form an abrasive coating having abrasiveness at a blade pressure side of a deposition layer using a second electrode composed of a second molded body molded from a mixed powder including a powder of a metal and a powder of a ceramic or the second electrode processed with a heat treatment, generating pulsing electric discharges between the blade pressure side of the deposition layer and the second electrode in an electrically insulating liquid or gas, and welding a material of the second electrode or a reacting substance of the material of the second electrode on the blade pressure side of the deposition layer by means of energy of the electric discharges.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: November 2, 2010
    Assignees: Ishikawajima-Harima Heavy Industries Co., Ltd., Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiroyuki Ochiai, Mitsutoshi Watanabe, Akihiro Goto, Masao Akiyoshi
  • Publication number: 20100247928
    Abstract: The invention relates to a method and apparatus for the application of a thin film coating of material onto a surface of an article which is to be exposed to aqueous conditions such as when in the sea or rivers. The invention allows for the formation of a coating which is resistant to fouling and which coating can be formed of materials which have significantly less adverse effect on the quality of the water in which the article is placed in comparison to conventional coating types.
    Type: Application
    Filed: September 15, 2008
    Publication date: September 30, 2010
    Inventors: Dennis Teer, Laurent Akesso, Parnia Navabpour
  • Publication number: 20100247806
    Abstract: The producing method of a gas barrier layer uses a material having at least one Si—H bond, a material having at least one N-H bond, and at least one of nitrogen gas, hydrogen gas and a noble gas and forms the gas barrier layer by plasma-enhanced CVD using a plasma in which an emission intensity A of emission at 414 nm, an emission intensity B of emission at 336 nm, an emission intensity C of emission at 337 nm, and an emission intensity D of emission at 656 nm satisfy formulas a to c: 2<B/A<20??Formula a C/B<2??Formula b 0.5<D/B<50.
    Type: Application
    Filed: March 24, 2010
    Publication date: September 30, 2010
    Applicant: FUJIFILM CORPORATION
    Inventor: Toshiya TAKAHASHI
  • Publication number: 20100239783
    Abstract: A method of forming a working mold including placing a substrate near an electrode in a chamber, the substrate (610) having at least a first structured surface (620); providing power to the electrode to create a plasma, —introducing vapor of liquid silicone molecules into the plasma; and depositing a release layer (630), the release layer (630) including a silicone containing polymer, the release layer (630) being deposited on at least a portion of the first structured surface of the substrate to form the working mold.
    Type: Application
    Filed: September 5, 2008
    Publication date: September 23, 2010
    Inventors: Gouping Mao, Moses M. David, Olester Benson, JR., Robert J. DeVoe, Jennifer J. Sahlin
  • Publication number: 20100233385
    Abstract: The invention relates to an apparatus and to a method of forming thin films on substrate surfaces. It is the object of the invention to provide possibilities with which thin layers can be manufactured on substrate surfaces which have a specific layer material formation with desired properties. The apparatus in accordance with the invention is made such that a feed is present for at least one gaseous precursor, which contributes to the layer formation, at a reaction chamber region above a substrate surface to be coated. A source which is a plasma source and which emits electromagnetic radiation is moreover arranged such that a photolytic activation of atoms and/or molecules of the precursor(s) takes place with the emitted electromagnetic radiation. In this respect, the plasma source should be arranged and should also be operated such that no direct influence of the plasma on the substrate surface and on the precursors resulting in the layer formation takes place.
    Type: Application
    Filed: August 29, 2007
    Publication date: September 16, 2010
    Inventors: Birte Dresler, Volkmar Hopfe, Ines Dani
  • Publication number: 20100189926
    Abstract: A plasma deposition apparatus for making high purity silicon, including a chamber for depositing said high purity silicon, the chamber including a top defining substantially an upper end of the chamber; one or more sides having an upper end and a lower end, the top substantially sealingly joining the upper end of the one or more sides; a base defining substantially a lower end of the chamber, the base substantially sealingly joining the lower end of the one or more sides; and at least one induction coupled plasma torch disposed in the top, the at least one induction coupled plasma torch oriented in a substantially vertical position producing a plasma flame downward from the top towards the base, the plasma flame defining a reaction zone for reacting one or more reactants to produce the high purity.
    Type: Application
    Filed: February 1, 2010
    Publication date: July 29, 2010
    Inventors: Charles DeLuca, Evgueni Danilov, Dau Wu, Peter T. Hansen, Mohd Aslami
  • Patent number: 7758929
    Abstract: In a plasma processing apparatus in which a radio-frequency power from a radio-frequency power source is supplied to at least one of an upper electrode and a lower electrode disposed to vertically face each other in a process vessel, to thereby generate, in the process vessel, plasma with which a substrate is processed, a chemical component emitting member which is caused to emit a chemical component necessary for processing the substrate into the process vessel by entrance of ions in the plasma generated in the process vessel is provided in the process vessel in an exposed state, and an impedance varying circuit varying impedance on the chemical component emitting member side of the plasma generated in the process vessel to frequency of the radio-frequency power source is connected to the chemical component emitting member.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yohei Yamazawa, Noriaki Imai
  • Patent number: 7758928
    Abstract: This invention relates to a method of functionalizing a powdered substrate. The method comprises the following steps, which method comprises passing a gas into a means for forming excited and/or unstable gas species, typically an atmospheric pressure plasma or the like and treating the gas such that, upon leaving said means, the gas comprises excited and/or unstable gas species which are substantially free of electric charge. The gas comprising the excited and/or unstable gas species which are substantially free of electric charge is then used to treat a powdered substrate and a functionalizing precursor in a downstream region external to the means for forming excited and/or unstable gas, wherein neither the powdered substrate nor the functionalizing precursor have been subjected to steps (i) and (ii) and wherein said functionalizing precursor is introduced simultaneously with or subsequent to introduction of the powdered substrate. Preferably the method takes place in a fluidized bed.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: July 20, 2010
    Assignee: Dow Corning Corporation
    Inventors: Timothy Rex Bunce, Bhukandas Parbhoo, Pierre Chevalier
  • Patent number: 7754294
    Abstract: We have discovered that controlling a combination of PECVD deposition process parameters during deposition of silicon-containing thin film provides improved control over surface standing wave effects. By minimizing surface standing wave effects, the uniformity of film properties (particularly film thickness) across a substrate surface onto which the films have been deposited is improved.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: July 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Tae Kyung Won, John M. White
  • Patent number: 7749563
    Abstract: A method is provided for processing a substrate including providing a processing gas comprising an organosilicon compound comprising a phenyl group to the processing chamber, and reacting the processing gas to deposit a low k silicon carbide barrier layer useful as a barrier layer in damascene or dual damascene applications with low k dielectric materials. A method is provided for depositing a silicon carbide cap layer that has substantially no phenyl groups attached to silicon atoms from a processing gas comprising an oxygen-free organosilicon compound on a low k silicon carbide barrier layer.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: July 6, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yi Zheng, Srinivas D. Nemani, Li-Qun Xia
  • Publication number: 20100151149
    Abstract: A deposition system and process for the formation of thin film materials. In one embodiment, the process includes forming an initial plasma from a first material stream and allowing the plasma to evolve in space and/or time to extinguish species that are detrimental to the quality of the thin film material. After the initial plasma evolves to an optimum state, a second material stream is injected into the deposition chamber to form a composite plasma that contains a distribution of species more conducive to formation of a high quality thin film material. The deposition system includes a deposition chamber having a plurality of delivery points for injecting two or more streams (source materials or carrier gases) into a plasma region. The delivery points are staggered in space to permit an upstream plasma formed from a first material stream deposition source material to evolve before combining a downstream material stream with the plasma. Injection of different material streams is also synchronized in time.
    Type: Application
    Filed: December 12, 2008
    Publication date: June 17, 2010
    Inventor: Stanford R. Ovshinsky
  • Publication number: 20100151666
    Abstract: The invention provides compounds of, and methods for the preparation of compounds of, the molecular formula, SixGeyHz—aXa; wherein X is halogen, and x, y, z, and a are defined herein, and methods for the deposition of high-Ge content Si films on silicon substrates using compounds of the invention.
    Type: Application
    Filed: April 2, 2008
    Publication date: June 17, 2010
    Applicant: Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University
    Inventors: John Kouvetakis, Jesse Tice, Yan-Yan Fang
  • Publication number: 20100151151
    Abstract: A method of forming a low-k film containing silicon and carbon on a substrate by plasma CVD, includes: supplying gas of a precursor having a Si—R—O—R—Si bond into a reaction space in which a substrate is placed; and exciting the gas in the reaction space, thereby depositing a film on the substrate.
    Type: Application
    Filed: December 11, 2008
    Publication date: June 17, 2010
    Applicant: ASM JAPAN K.K.
    Inventors: Kiyohiro Matsushita, Akinori Nakano, Ryo Kawaguchi, Yuya Nonaka
  • Patent number: 7732010
    Abstract: A method for supporting a glass substrate comprising providing a substrate support having an aluminum body, a substrate contact area formed on the surface of the substrate support, wherein the process of forming the substrate contact area comprises forming an anodization layer on a surface region of the aluminum body, the coating having a thickness of between about 0.3 mils and about 2.16 mils, wherein the surface region substantially corresponds to the substrate contact area, and preparing the anodization layer disposed over the surface region to a surface roughness between about 88 micro-inches and about 230 micro-inches, followed by anodizing the substrate surface to said thickness, positioning the substrate support adjacent a substrate processing region in a substrate processing chamber, wherein the substrate contact area is adjacent the substrate processing region, positioning the glass substrate on the substrate contact area.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: June 8, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Beom Soo Park, Quanyuan Shang, Robert I. Greene, John M. White, Dong-Kil Yim, Chung-Hee Park, Kam Law