Discontinuous Or Differential Coating, Impregnation Or Bond (e.g., Artwork, Printing, Retouched Photograph, Etc.) Patents (Class 428/195.1)
  • Patent number: 9790387
    Abstract: There is provided a non-aqueous ink jet ink composition, including a pigment, a non-aqueous solvent, and a surfactant, in which the ink composition contains an acetylene glycol-based surfactant having a HLB value of 1.0 to 6.0 as the surfactant.
    Type: Grant
    Filed: March 8, 2016
    Date of Patent: October 17, 2017
    Assignee: Seiko Epson Corporation
    Inventors: Hiroaki Kumeta, Kana Mitsuzawa
  • Patent number: 9792837
    Abstract: Various tags and adhesive labels are described which can be used in high temperature environments such as up to 1,000° C. The tags and labels include a substrate having one or more high temperature printable coatings. The labels can also include pressure sensitive adhesives and optional release liners.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: October 17, 2017
    Assignee: Avery Dennison Corporation
    Inventors: Anil Vilas Gaikwad, Ronald Wiegers, Denis Markov, Chee Hoong Lai, Martin Burianek
  • Patent number: 9793109
    Abstract: [Problem] To provide a perhydropolysilazane making it possible to form a siliceous film with minimal defects, and a curing composition comprising the perhydropolysilazane. [Means for Solution] The present invention provides a perhydropolysilazane having a weight-average molecular weight of 5,000 to 17,000, characterized in that when 1H-NMR of a 17% by weight solution of said perhydropolysilazane dissolved in xylol is measured, the ratio of the amount of SiH1,2 based on the aromatic ring hydrogen content of the xylol is 0.235 or less and the ratio of the amount of NH based on the aromatic ring hydrogen content of the xylol is 0.055 or less, and a curing composition comprising the perhydropolysilazane. The present invention also provides a method for forming a siliceous film, comprising coating the curing composition on a substrate and heating.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: October 17, 2017
    Assignee: AZ Electronic Materials (Luxembourg) S.à.r.l.
    Inventors: Toshiya Okamura, Takashi Kanda, Issei Sakurai, Bertram Bernd Barnickel, Hiroyuki Aoki
  • Patent number: 9785079
    Abstract: A digital printing apparatus using liquid toner comprising carrier liquid, a dispersing agent and imaging particles, the apparatus comprising: an imaging member adapted to sustain a pattern of electric charge forming a latent image on its surface; a development member arranged to receive a quantity of liquid toner; and to develop said latent image by transferring a portion of said quantity of liquid toner onto said imaging member in accordance with said pattern; a melting unit arranged downstream of the imaging member and configured to melt imaging particles of a transferred part of the portion of liquid toner, said part being transferred from the imaging member, wherein there is provided a dispersing capacity modification unit downstream of the imaging member, said dispersing capacity modification unit being configured for reducing the dispersing capacity of the dispersing agent in the transferred part of the portion of liquid toner.
    Type: Grant
    Filed: April 24, 2014
    Date of Patent: October 10, 2017
    Assignee: Xeikon Manufacturing N.V.
    Inventors: Lode Erik Dries Deprez, Werner Jozef Johan Op De Beeck, Geert Gaston Paul Deroover
  • Patent number: 9785014
    Abstract: In a photo alignment process according to a manufacturing method of a liquid crystal display device including a display panel with a liquid crystal layer interposed between substrates, in order to even the exposure amount of ultraviolet light in an area of a substrate passing through the lower central portion of a bar-like UV lamp arranged in a prolonged way in a direction crossing the proceeding direction of the substrate and in an area of the substrate passing through the end portions thereof, the ultraviolet light is irradiated through an aperture having a larger opening width in the end portions than in the center portion.
    Type: Grant
    Filed: February 4, 2015
    Date of Patent: October 10, 2017
    Assignee: Japan Display Inc.
    Inventor: Yojiro Shimada
  • Patent number: 9776377
    Abstract: A laser printable shelf talker label sheet includes one or more removable shelf talker labels. The shelf talker label sheet includes a printable face layer laminated to a support layer, and one or more die cut removable shelf talker labels. The one or more shelf talker labels include at least the printable face layer having a first information portion and a second information portion, the support layer being adhesively attached to the face layer portion corresponding to the second information portion, the support layer portion being detachably attached to the face layer portion corresponding to the first information portion, and the printable face layer including optically transparent, fiber based material. A roll including one or more printable, die-cuttable shelf talker labels is also described.
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: October 3, 2017
    Assignee: UPM RAFLATAC OY
    Inventor: Paul Dickerson
  • Patent number: 9770865
    Abstract: An apparatus and method for forming a three-dimensional (3D) pattern using electrojetting, the apparatus including: a syringe tip having one end from which a polymer jet is discharged; a substrate that is disposed in a direction in which the polymer jet is discharged, and that forms an electric field between the substrate and the syringe tip; and a movement unit that moves the syringe tip or the substrate, wherein the polymer jet discharged from the syringe tip is moved relative to an upper side of the substrate and is stacked on the substrate.
    Type: Grant
    Filed: August 22, 2014
    Date of Patent: September 26, 2017
    Assignee: SNU R&DB FOUNDATION
    Inventors: Ho-Young Kim, Min Hee Lee, Beom June Shin
  • Patent number: 9757974
    Abstract: Provided is a method for producing a wood based board including a support board and a decor paper disposed on the support board. The method includes the steps of a) providing a support board, b) applying at least one layer of at least one impregnating resin onto the at least one side of the support board, c) providing the decor paper, d) applying at least one resin as impregnating agent onto the side of the decor paper opposite from the decor, and e) placing the decor paper with the side impregnated opposite from the decor onto the side of the support board provided with the impregnating resin.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: September 12, 2017
    Assignee: Flooring Technologies Ltd.
    Inventor: Norbert Kalwa
  • Patent number: 9757704
    Abstract: This present application relates to microcapsules or compositions containing microcapsules wherein the microcapsules comprise a polymerizable lactamic copolymer. More particularly, certain aspects are directed to the use of polymerizable lactamic copolymers in the formation of coatings on microencapsulated particles. These polymerizable lactamic copolymers can result in surface modified microencapsulated particles that may be anionic, non-ionic, or cationic.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: September 12, 2017
    Assignee: ISP INVESTMENTS LLC
    Inventors: David K. Hood, Karyn B. Visscher, Surya Kamin
  • Patent number: 9731535
    Abstract: A high gloss photo media that has a gloss at 20 degrees equal to or greater than 40% includes a photo media substrate that includes a gloss-enhancement film on a front side of a photo base material. A curl control material is on a back side of the photo base material opposite the front side. The gloss-enhancement film being a multilayer that includes an adherent layer and a layer of one of a polyester composition and a polypropylene composition. A thickness of the gloss-enhancement film is at least 20 microns. The high gloss photo media further includes an image receiving layer on the gloss-enhancement film.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: August 15, 2017
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Xulong Fu, Dheya M. Alfekri, Ronald J. Selensky
  • Patent number: 9723178
    Abstract: A printed color prediction method includes: a step of acquiring the spectral reflectance in a protective film non-coating region of a printed matter that the protective film does not coat; a step of estimating the optical physical property value of the protective film; a step of acquiring the spectral distribution of an observation light source; a step of estimating the color change property due to the interaction between the printed matter as a base matter and the protective film; and a step of predicting the colorimetric value of a protective film-attached printed matter, based on the acquired spectral reflectance of the printed matter, the optical physical property value of the protective film, the spectral distribution of the observation light source and the color change property due to the interaction.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: August 1, 2017
    Assignee: FUJIFILM Corporation
    Inventor: Shuhei Horita
  • Patent number: 9719206
    Abstract: An article of apparel includes a fabric portion having an inside and an outside defined by the article of apparel. A ceramic print is provided on the inside of the fabric portion. The ceramic print includes at least five percent of a ceramic by weight and covers at least ten percent of the inside of the fabric portion. A method of manufacturing the article of apparel includes first printing an ink comprising at least five percent of a ceramic by weight onto a first side of a fabric portion in order to provide a fabric with a ceramic print that covers at least ten percent of the inside of the fabric portion. The method further includes incorporating the fabric with the ceramic print into a garment with the first side of the fabric portion exposed on an inside of the garment.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: August 1, 2017
    Assignee: Under Armour, Inc.
    Inventor: Kyle Sanders Blakely
  • Patent number: 9722276
    Abstract: A solid electrolyte comprising: LiBH4; and an alkali metal compound represented by the following formula (1): MX??(1) (in the formula (1), M represents an alkali metal atom, and X represents one selected from the group consisting of halogen atoms, NR2 groups (each R represents a hydrogen atom or an alkyl group) and N2R groups (R represents a hydrogen atom or an alkyl group)).
    Type: Grant
    Filed: May 12, 2009
    Date of Patent: August 1, 2017
    Assignee: TOHOKU UNIVERSITY
    Inventors: Hideki Maekawa, Hitoshi Takamura, Shinichi Orimo, Motoaki Matsuo, Yuko Nakamori, Mariko Ando, Yasuto Noda, Taiki Karahashi
  • Patent number: 9713551
    Abstract: A kinesiology tape bandage includes a piece of anisotropically stretchable woven fabric, a stretchable dressing attached to a first side of the fabric, and pressure-sensitive adhesive applied to at least some of an exposed area of the first side of the fabric. The kinesiology tape bandage is applied to a body by stretching the kinesiology tape bandage to at least 125% of its unstretched length, positioning a dressing of the bandage over a wound on the body, and pressing the stretched bandage against the body to activate a pressure-sensitive adhesive on the bandage and adhere the stretched bandage to the body.
    Type: Grant
    Filed: March 17, 2015
    Date of Patent: July 25, 2017
    Assignee: ROCKTAPE, INC.
    Inventors: Steven Capobianco, Gregory van den Dries
  • Patent number: 9713932
    Abstract: A recording medium includes a substrate and an ink receiving layer that includes inorganic particles and a binder. The inorganic particles include fumed silica particles. The binder includes a resin having a glass transition temperature of 20° C. or less. The content of the binder in the ink receiving layer is 40% by mass or more of the content of the inorganic particles included in the ink receiving layer.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: July 25, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinya Yumoto, Naotoshi Miyamachi, Tetsuro Noguchi, Hisao Kamo, Kazuhiko Araki, Ryo Taguri, Takashi Sugiura
  • Patent number: 9707791
    Abstract: A printable recording media including a supporting base substrate having, on its image side, a pre-coating layer that contains inorganic pigments and polymeric binders, and a top ink-receiving layer that includes inorganic pigments, polymeric binders and non-film forming polymers; and, having a polymer barrier layer on its backside. Also described herein is a method for making the printable recording media, a method for forming printed articles, and the obtained printed articles.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: July 18, 2017
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Xulong Fu, Jr., Xiaoqi Zhou, Francois K. Pirayesh, Lokendra Pal
  • Patent number: 9707788
    Abstract: This invention relates to a conductive thermal image receiver element that has an aqueous coatable dye-receiving layer and an aqueous coatable receiver overcoat layer. The receiver overcoat layer comprises a conductive polymeric material and a two or more dispersants. The dye-receiving layer comprises a water-dispersible release agent, a crosslinking agent, and a polymer binder matrix consisting essentially of a water-dispersible polyester and a water-dispersible acrylic polymer. This invention also relates to a method for making this thermal image receiver element as well as method for using it to provide a dye image by thermal transfer from a donor element.
    Type: Grant
    Filed: April 29, 2016
    Date of Patent: July 18, 2017
    Assignee: KODAK ALARIS, INC.
    Inventors: Teh-Ming Kung, Kathleen Bonsignore, Renee L. Daniels, Lianne Heath, John P. Olscamp, Kim Standish, Ellen L. Bennett, Peter J. Ghyzel, Joseph F. Janinek, John L. Muehlbauer, Walter E. Scott
  • Patent number: 9701858
    Abstract: Provided are a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 20 to 50 mass % of the total reaction components, and a black pigment (F1); and a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 10 to 50 mass % of the total reaction components, and a yellow pigment (F2).
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: July 11, 2017
    Assignee: Seiko Epson Corporation
    Inventors: Chigusa Sato, Toshiyuki Miyabayashi, Satoru Miura, Toru Saito, Hiroki Nakane, Jun Ito, Taketoshi Kagose, Hiroaki Kida, Hiroyuki Kajimoto
  • Patent number: 9704722
    Abstract: A method of forming a fine pattern includes forming pillar-shaped guides regularly arranged on a feature layer, forming a block copolymer layer on the feature layer around the pillar-shaped guides, phase separating the block copolymer layer, forming first domains regularly arranged on the feature layer with the pillar-shaped guides, forming a second domain on the feature layer surrounding the pillar-shaped guides and the first domains, removing the first domains, and forming holes corresponding with the first domains in the feature layer by etching the feature layer using the pillar-shaped guides and the second domain as etch masks. The block copolymer layer includes a polymer blend having first and second polymer blocks having first and second repeat units, respectively, a first homopolymer and a second homopolymer. The first domains include the first polymer block and the first homopolymer, and the second domain includes the second polymer block and the second homopolymer.
    Type: Grant
    Filed: December 3, 2015
    Date of Patent: July 11, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-ju Park, Seung-chul Kwon, Eun-sung Kim, Jae-woo Nam, Shi-yong Yi, Hyun-woo Kim
  • Patent number: 9701147
    Abstract: A recording medium includes a substrate and an ink receiving layer that includes inorganic particles and a binder. The inorganic particles include at least one type of alumina particles selected from fumed alumina particles and hydrated alumina particles. The binder includes a resin having a glass transition temperature of 20° C. or less. The content of the binder in the ink receiving layer is 25% by mass or more of the content of the inorganic particles included in the ink receiving layer.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: July 11, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventors: Naotoshi Miyamachi, Tetsuro Noguchi, Shinya Yumoto, Hisao Kamo, Kazuhiko Araki, Ryo Taguri, Takashi Sugiura
  • Patent number: 9694596
    Abstract: An image processing device includes circuitry to acquire image data of an image to be formed by a recording unit employing an inkjet method, calculate, based on the image data, ink thickness per pixel that indicates a thickness of ink to be discharged to form the image, set the maximum ink thickness per pixel of the entire image as a target thickness of the image, calculate, for each pixel, the difference between the target thickness and the ink thickness per pixel, create complementary data regulating thickness compensating the difference, and create print data including the image data and the complementary data.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: July 4, 2017
    Assignee: RICOH COMPANY, LTD.
    Inventors: Tetsu Morino, Atsushi Yanaka
  • Patent number: 9691830
    Abstract: An organic electroluminescent display panel, its manufacturing method and a display device are disclosed. In the organic electroluminescent display panel, a pixel definition layer provided therein has opening regions corresponding to pixel areas in the OLED, and each of the opening regions has an opening larger than a bottom surface of the opening region (300). An upper surface (a) of the pixel definition layer is formed from a hydrophobic material, and an inclination surface (b) of the pixel definition layer corresponding to each of the opening regions is formed from a hydrophilic material. The above OLED can assure the uniformity of the film layers formed after the pixel definition layer can be guaranteed to improve the light emitting performance of the OLED.
    Type: Grant
    Filed: November 5, 2014
    Date of Patent: June 27, 2017
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Yingying Song, Hsiao Wen Hung, Hao Chih Hung
  • Patent number: 9687396
    Abstract: An absorbent article includes an absorbent core, a liquid impervious backsheet, a liquid pervious topsheet, a functional layer, an adhesive layer and a releasable film. The functional layer includes a plurality of functional particles that are made from a material selected from sodium carbonate, argireline, mineral rock crystal, porphyritic andesite, borneol, mint essential oil, eucalyptus essential oil, jasmine essential oil, far-infrared radiation particles and combinations thereof.
    Type: Grant
    Filed: July 15, 2014
    Date of Patent: June 27, 2017
    Assignee: HOMEWAY TECHNOLOGY CO., LTD.
    Inventors: Chin-Hsing Hsieh, Yi-Long Ho
  • Patent number: 9687580
    Abstract: An absorbent article includes a chassis comprising a topsheet, a backsheet, and an absorbent core disposed between the topsheet and the backsheet, and at least one elastically elongatable panel joined to the chassis. The elastically elongatable panel includes a stretch laminate that has at least one cover layer and an elastomeric film attached to the cover layer, the elastomeric film having two surfaces and a skin on at least one of the surfaces. The stretch laminate has at least one anchoring zone and at least one stretch zone and the skin that is located in the anchoring zone has a plurality of wrinkles.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: June 27, 2017
    Assignee: The Procter & Gamble Company
    Inventors: Marcus Schonbeck, Henner Sollmann, Georg Baldauf, Urmish Popatlal Dalal, Miguel Alberto Herrera, Erica Lynne Locke
  • Patent number: 9691698
    Abstract: A method is for making an electronic device and includes forming an interconnect layer stack on a sacrificial substrate and having a plurality of patterned electrical conductor layers, and a dielectric layer between adjacent patterned electrical conductor layers. The method also includes laminating and electrically joining through an intermetallic bond a liquid crystal polymer (LCP) substrate to the interconnect layer stack on a side thereof opposite the sacrificial substrate. The method further includes removing the sacrificial substrate to expose a lowermost patterned electrical conductor layer, and electrically coupling at least one first device to the lowermost patterned electrical conductor layer.
    Type: Grant
    Filed: July 8, 2016
    Date of Patent: June 27, 2017
    Assignee: HARRIS CORPORATION
    Inventors: Michael Raymond Weatherspoon, David B. Nicol, Louis Joseph Rendek, Jr.
  • Patent number: 9670330
    Abstract: A method for marking an item including a shape memory polymer (SMP) having a visual readable and/or machine readable graphic element on the surface of the item. The method includes pretreating the surface of the item; coloring the surface of the item with a dye solution containing an organic dye and an organic solvent; cleaning and drying the surface of the item; and engraving by at least partially ablating the surface of the item. The dyeing process is suitable for coloring the surface of a shape memory polymer (SMP), wherein the information is engraved in a form of a code or other label on the colored surface. The coloring causes an increased contrast and thus facilitates machine readability of marking and coding without affecting the other properties of the SMP.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: June 6, 2017
    Assignee: BAM Bundesanstalt fuer Materialforschung und—pruefung
    Inventors: Thorsten Pretsch, Melanie Ecker, Markus Schildhauer
  • Patent number: 9670371
    Abstract: A method of forming a digital print on a surface (2) by applying powder of dry ink (15) including colorants (7) on the surface, bonding a part of the dry ink (15) powder to the surface (2) by a digital heating print head (80) such that the digital print is formed by the bonded dry ink colorants (7) and removing non-bonded dry ink (15) from the surface (2).
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: June 6, 2017
    Assignee: CERALOC INNOVATION AB
    Inventors: Darko Pervan, Tony Pervan
  • Patent number: 9672300
    Abstract: A pattern generation method for generating a pattern of a cell used to generate a pattern of a mask using a computer, includes obtaining data of pattern of the cell, calculating image of the pattern of the cell to obtain an evaluation value of the image by repeatedly changing a parameter value of an exposure condition when the mask which has the pattern of the cell is illuminated to project image of the pattern of the cell onto a substrate to expose the substrate, and a parameter value of the pattern of the cell, and determining parameter value of the pattern of the cell when the evaluation value satisfies a predetermined evaluation standard.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: June 6, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventors: Koji Mikami, Tadashi Arai, Hiroyuki Ishii
  • Patent number: 9656500
    Abstract: An image recording medium includes an image supporting member that has a ruggedness on a surface and has a surface roughness Rz of 3 ?m or more, an image receiving layer that includes a first thermoplastic resin having a glass transition temperature of 60° C. or more and a second thermoplastic resin having a glass transition temperature of 15° C. or less, and a transparent supporting member, in this order, wherein an image formed of an image forming material is provided between the image supporting member and the image receiving layer and a ruggedness corresponding to the ruggedness of the image supporting member is formed on an outermost surface of the image recording medium on a side of the transparent supporting member.
    Type: Grant
    Filed: April 7, 2016
    Date of Patent: May 23, 2017
    Assignee: FUJI XEROX CO., LTD.
    Inventors: Tomoo Kobayashi, Kaoru Torikoshi
  • Patent number: 9660319
    Abstract: The present disclosure is directed to combiners/splitters that reduce packaging and circuit board complexities. More specifically, an apparatus including a chain of directional couplers is used to distribute radio frequency power. The apparatus may also include a set of gain controllers. Each particular gain controller of the set of gain controllers is associated with a particular directional coupler of the chain of directional couplers, and each particular gain controller is configured to adjust a radio frequency power for the associated particular directional coupler.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: May 23, 2017
    Assignee: Rockwell Collins, Inc.
    Inventor: Chenggang Xie
  • Patent number: 9651865
    Abstract: The purpose of the present invention is to provide a negative-type photosensitive coloring composition ideal for forming a white, light-blocking pattern and which not only has excellent chemical resistance, but also has extremely excellent heat resistance and does not yellow or crack even when undergoing high-temperature processing. This negative-type photosensitive coloring composition contains (A) a white pigment, (B) a polysiloxane obtained by co-hydrolyzate condensation of an alkoxysilane compound containing a compound of a specific structure, (C) polyfunctional acrylic monomers, (D) a photoradical polymerization initiator and (E) an organic solvent.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: May 16, 2017
    Assignee: TORAY INDUSTRIES, INC.
    Inventors: Hitoshi Araki, Mitsuhito Suwa, Toru Okazawa, Yoshihiko Inoue, Akihiro Ishikawa, Akinori Saeki
  • Patent number: 9644319
    Abstract: The invention relates to a sheet of a given size, having a rear face and a front face. The invention is characterised in that it includes at least one part which is made from fibrous material and at least one other part which is made from plastic material and which is adjacent to the fibrous part, said parts being joined at a zone in which the two materials overlap. The plastic part at least partially forms a side zone of the sheet that is free of fibrous material and the plastic part includes at least one transparent or translucent zone.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: May 9, 2017
    Assignee: ARJOWIGGINS
    Inventors: Henri Rosset, Sébastien Charignon
  • Patent number: 9643441
    Abstract: A recording medium includes a substrate, a first ink-receiving layer, and a second ink-receiving layer adjacent to the first ink-receiving layer in this order. The first ink-receiving layer contains an inorganic particle having an average particle size of 50 nm or less, and the second ink-receiving layer contains an amorphous silica having an average particle size of 3.2 ?m or more.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: May 9, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Taguri, Arika Tanaka, Takashi Sugiura, Tomokazu Kotake, Takatoshi Tanaka, Jun Wang
  • Patent number: 9646736
    Abstract: A resin composition which has high electrical conductivity and high stiffness, is suppressed in outgassing caused by a temperature rise and is excellent in heat stability during melt molding in the production process and a molded article thereof. The resin composition comprises (A) 65 to 85 parts by weight of an aromatic polycarbonate resin (component A), (B) 15 to 35 parts by weight of graphite having an average particle diameter of 5 to 60 ?m (component B), and (C) 0.1 to 5 parts by weight of at least one compound (component C) selected from the group consisting of a polyester having a sulfonate group (component C-1) and a polyether ester having a sulfonate group (component C-2) based on 100 parts by weight of the total of the components A and B.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: May 9, 2017
    Assignee: TEIJIN LIMITED
    Inventor: Atsushi Hayashida
  • Patent number: 9643449
    Abstract: A transfer sheet is provided, whereby a T-shirt or the like can be printed in few steps by means of an electronic image forming device that uses powdered toner, liquid ink, or the like containing a plastic resin. By means of mirror-image printing a picture pattern, which is an electronic image, onto a first sheet, aligning the first sheet and a second sheet, and heat-pressing, a coating is spread over a portion of the picture pattern printed onto the first sheet. The first sheet has a structure including a mold release layer, a resin layer, and a porous resin layer in a substrate, and the second sheet includes a mold release layer, a resin layer, an adhesive layer, and a colored porous resin layer in a substrate.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: May 9, 2017
    Assignee: YUGEN KAISHA KOWATECHNOA
    Inventor: Kaoru Yamamoto
  • Patent number: 9640347
    Abstract: An illuminated metal keycap having a legend diffuser material that may diffuse light through a legend opened in a background layer. The background layer may be opaque and the legend may be transparent. The metal keycap is adhered to a scissor mechanism positioned above electrical switch circuitry. Included within, below, or adjacent to the scissor mechanism may be one or more light sources positioned to emit light through the metal keycap, around the perimeter of the metal keycap, and/or through the background layer.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: May 2, 2017
    Assignee: APPLE INC.
    Inventors: Hilbert T. Kwan, Jeffrey L. Yen, Craig C. Leong, James J. Niu, Keith J. Hendren, Yanyang Yuan, Feng Zhao, Wanshan Li, Derrick T. Jue, Ze Hong
  • Patent number: 9624393
    Abstract: An ink jet recording method includes recording an image by discharging an ink composition which contains water and a coloring material on a recording surface of a recording medium having low absorbency or non-absorbency to ink, and forming a protective layer, which contains first resin particles where a glass transition temperature is 50° C. or higher and second resin particles where a glass transition temperature is less than 50° C. and which substantially does not contain a coloring material, on the image.
    Type: Grant
    Filed: January 5, 2015
    Date of Patent: April 18, 2017
    Assignee: Seiko Epson Corporation
    Inventors: Hitoshi Ohta, Kazuaki Tsukiana
  • Patent number: 9627533
    Abstract: A silicon nitride cap on a gate stack is removed by etching with a fluorohydrocarbon-containing plasma subsequent to formation of source/drain regions without causing unacceptable damage to the gate stack or source/drain regions. A fluorohydrocarbon-containing polymer protection layer is selectively deposited on the regions that are not to be etched during the removal of the nitride cap. The ability to remove the silicon nitride material using gas chemistry, causing formation of a volatile etch product and protection layer, enables reduction of the ion energy to the etching threshold.
    Type: Grant
    Filed: February 5, 2015
    Date of Patent: April 18, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, ZEON CORPORATION
    Inventors: Ravi K. Dasaka, Sebastian U. Engelmann, Nicholas C. M. Fuller, Masahiro Nakamura, Richard S. Wise
  • Patent number: 9618845
    Abstract: A method of forming a resist pattern, including: a step A in which a positive resist composition is applied to a substrate to form a positive resist film, the positive resist film is exposed and the positive resist film is subjected to an alkali development to form a first resist pattern; a step B in which a solution containing an acid or a thermoacid generator is applied to the substrate whereon the first resist pattern is formed, so as to cover the first resist pattern, to form a structure having the first resist pattern and a first layer covering the first resist pattern; a step C in which the structure is heated and the solubility of the first resist pattern in an organic solvent is changed under action of the acid or under action of acid generated from the thermoacid generator; and a step D in which the structure after heating is developed with the organic solvent to remove a region of the first resist pattern other than the region of the first resist pattern where the solubility in the organic solvent i
    Type: Grant
    Filed: September 24, 2015
    Date of Patent: April 11, 2017
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Yoichi Hori, Takayoshi Mori, Ryoji Watanabe, Rikita Tsunoda
  • Patent number: 9616699
    Abstract: A process for manufacturing a security feature having a tactile pattern, said method including applying on a substrate a radiation-curable basecoat composition by a process selected from inkjet, offset, screen printing, flexo printing and rotogravure; at least partially or fully radiation-curing the radiation-curable basecoat composition so as to obtain a radiation-cured basecoat; applying on the radiation-cured basecoat a radiation-curable topcoat composition in a form of indicia by a process of screen printing, flexo printing or rotogravure; radiation-curing said radiation-curable topcoat composition so as to form a radiation-cured topcoat. The radiation-curable basecoat composition and/or the radiation-curable topcoat composition includes one or more machine readable feature substances independently selected from cholesteric liquid crystal pigments, luminescent compounds, infrared-absorbing compounds, magnetic compounds and mixtures thereof.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: April 11, 2017
    Assignee: SICPA HOLDING SA
    Inventors: Christophe Garnier, Lucien Vuilleumier, Pierre Degott
  • Patent number: 9619807
    Abstract: Disclosed is a genuine product certification system, including: a genuine product certification means, including: an optical material; additional information; and patterns for genuine product certification; a user terminal adapted to perform genuine product certification using the genuine product certification means; a service server including information about the patterns, the spectrum of the optical material, and the additional information as existing data, and adapted to perform genuine product certification using a result of performing genuine product certification using the user terminal and to generate statistical data concerning the occurrence of imitation products; and a manager terminal adapted to receive and output information about performance of the genuine product certification by the service server and the statistical data concerning the occurrence of imitation products transmitted from the service server.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: April 11, 2017
    Assignee: ICRAFT CO., LTD.
    Inventors: Woojin Park, Youngjoon Song, Joopyo Hong
  • Patent number: 9617439
    Abstract: An ink composition contains (a) a pigment represented by chemical formula 1a or chemical formula 1b, (b) a polymer having a structure unit having a diphosphonic acid group and represented by Chemical formula 2, (c) a hydrosoluble solvent, and (d) water.
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: April 11, 2017
    Assignee: RICOH COMPANY, LTD.
    Inventors: Akihiko Matsuyama, Shigeyuki Harada, Keita Katoh, Masayuki Fukuoka, Mitsuru Naruse, Kazukiyo Nagai, Yuusuke Koizuka, Masayasu Nonogaki
  • Patent number: 9607887
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes forming a convex portion including an interconnect and a first film above a substrate, forming a second film on the convex portion, and forming a concave portion having a first bottom face of the first film and a second bottom face lower than the upper face of the first film in the second film. The method further includes forming a polymer film in the concave portion by using a polymer that includes first and second portions respectively having first and second affinities for the first film, phase-separating the first and second portions to form a first pattern containing the first portion and located on the first bottom face and a second pattern containing the second portion and located on the second bottom face in the polymer film, and selectively removing the first or second pattern.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: March 28, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideki Kanai
  • Patent number: 9603755
    Abstract: An absorbent member containing a hydrophobic material subjected to hydrophilic treatment, a plurality of through holes passing through the absorbent member in a thickness direction formed at a portion of the absorbent member in a target region (P). The absorbent member has a layer-stacked structure of a plurality of layers, stacked in the thickness direction. The Klemm water absorption height of a lower layer most proximal to the backsheet is higher than that of an upper layer most proximal to the topsheet. The backsheet contains a moisture permeable film including a plurality of microvoids, and having water repellent portions formed by water repellent finishing, on a portion in the target region (P) of a skin-facing surface of the moisture permeable film. A ratio of the total area of portions on which the water repellent finishing is performed to the area of the target region (P) is from 30% to 60%.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: March 28, 2017
    Assignee: KAO Corporation
    Inventor: Masahito Tanaka
  • Patent number: 9597914
    Abstract: An ink jet recording method includes forming an under layer by applying an active energy ray curable first ink composition which contains a metallic pigment to a printing medium, forming an intermediate layer by applying an active energy ray curable second ink composition to the under layer, and forming a first image by applying the first ink composition to the intermediate layer. In addition, it is possible to include irradiating of active energy rays onto the under layer before the forming of the intermediate layer, irradiating of active energy rays onto the intermediate layer before the forming of the first image, and irradiating of active energy rays onto the first image after the forming of the first image.
    Type: Grant
    Filed: January 26, 2015
    Date of Patent: March 21, 2017
    Assignee: Seiko Epson Corporation
    Inventor: Tomohiro Ogawa
  • Patent number: 9588192
    Abstract: Disclosed are a magnetic sensor chip and a magnetic sensor. The magnetic sensor chip comprises a magnetic sensitive film (2, 21), and in the longitudinal direction of the magnetic sensitive film (2, 21) are provided a number n of suppression units (4) capable of achieving the sectionalized suppression of a demagnetizing field, where the number n is an integer equal to or greater than 2. By means of the suppression units (4) arranged in the longitudinal direction of the magnetic sensitive film (2, 21), the magnetic sensitive film (2, 21) to achieve a suppression of a demagnetizing field, so as to reduce or even eliminate the hysteresis of the magnetic sensitive film (2, 21), thus improving the sensitivity of the magnetic sensor chip.
    Type: Grant
    Filed: January 21, 2012
    Date of Patent: March 7, 2017
    Inventors: Bingjun Qu, Wei Xiong
  • Patent number: 9588420
    Abstract: A photomask includes at least one feature disposed thereon. The at least one feature has an associated design location, where a distance between a location of the at least one feature and the associated design location defines a positional error of the at least one feature. A method for improving a performance characteristic of the photomask includes directing electromagnetic radiation toward the photomask, the electromagnetic radiation having a wavelength that substantially coincides with a high absorption coefficient of the photomask; generating a thermal energy increase in the photomask through incidence of the electromagnetic radiation thereon; and decreasing the positional error as a result of the generating the thermal energy increase in the photomask.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: March 7, 2017
    Assignee: RAVE LLC
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Patent number: 9588584
    Abstract: A system and method for processing a touch input. The system includes a touch screen configured to detect a touch on a touch screen panel, an outputter configured to output at least one of a vibration and a sound, a press sensor configured to detect user's pressing the touch screen panel by more than a predetermined strength, and a controller configured to, when the touch is detected where a user interface icon is displayed, control the outputter to output at least one of the vibration and the sound corresponding to the user interface icon, and, when a press on the touch screen panel is detected within a predetermined time after the touch is detected, perform a job corresponding to the user interface icon of location where the touch is detected.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: March 7, 2017
    Inventors: Chong-Sil Park, Sang-Hee Park
  • Patent number: 9588256
    Abstract: A color material dispersion liquid comprising a color material represented by the following general formula (I), a dispersant, and a solvent having a solubility of the color material of 0.1 (mg/10 g solvent) or less at 23° C., having excellent solvent resistance and excellent electrical reliability; a color resin composition for color filters, which can form a color layer that has high contrast, excellent solvent resistance and excellent electrical reliability; a color filter formed with the color resin composition for color filters; a liquid crystal display device having the color filter; and an organic light-emitting display device having the color filter.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: March 7, 2017
    Assignee: DAI NIPPON PRINTING CO., LTD.
    Inventor: Masato Okada
  • Patent number: 9587039
    Abstract: Provided is a fluorine-containing macroinitiator, particularly a fluorine-containing macroinitiator that enables production of a fluorine-containing block copolymer containing a non-fluorine vinyl-based monomer having a long side chain. The fluorine-containing macroinitiator includes a polymer represented by the following formula (1) and having a number-average molecular weight of 3,000 to 100,000. In the following formula (1), R2, R3 and R4 are each a specific group, and Q is a polymer segment composed of a structural unit represented by the following formula (2). In the following formula (2), R1 is a hydrogen atom or a methyl group, Rf is a fluoroalkyl group having a specific structure, and k is an appropriate integer that is not 0.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: March 7, 2017
    Assignee: Unimatec Co., Ltd.
    Inventors: Satoru Saito, Katsuyuki Sato, Takehiro Sonoi