Identified Backing Or Protective Layer Containing Patents (Class 430/271.1)
  • Patent number: 8088564
    Abstract: Base soluble polymer comprising at least one sulfonyl group where at least one carbon atom at ?-position and/or ?-position and/or ?-position with respect to the sulfonyl group has a hydroxyl group, where the hydroxyl group is protected or unprotected are described.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: January 3, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan
  • Patent number: 8088548
    Abstract: Developable bottom antireflective coating compositions are provided.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: January 3, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Francis M. Houlihan, Shinji Miyazaki, Mark O. Neisser, Alberto D. Dioses, Joseph E. Oberlander
  • Patent number: 8084193
    Abstract: A coating process comprises forming a patterned material layer on a substrate using a self-segregating polymeric composition comprising a polymeric photoresistive material and an antireflective coating material. The polymeric photoresistive material and the antireflective coating material that make up the self segregating composition are contained in a single solution. When depositing this solution on a substrate and removing the solvent, the two materials self-segregate into two layers. The substrate can comprise one of a ceramic, dielectric, metal, or semiconductor material and in some instances a material such as a BARC material that is not from the self segregating composition. The composition may also contain a radiation-sensitive acid generator and a base quencher. This produces a coated substrate having a uniaxial bilayer coating oriented in a direction orthogonal to the substrate with a top photoresistive coating layer and a bottom antireflective coating layer.
    Type: Grant
    Filed: July 12, 2008
    Date of Patent: December 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Dario L Goldfarb, David R Medeiros, Daniel P Sanders, Dirk Pfeifer, Libor Vylicky
  • Publication number: 20110311915
    Abstract: A resist underlayer film forming composition used in a lithography process includes: a polymer (A) containing a unit structure having a hydroxy group, a unit structure having a carboxy group, or combination thereof; a crosslinkable compound (B) having at least two vinyl ether groups; a photoacid generator (C); a C4-20 fluoroalkylcarboxylic acid or a salt of the fluoroalkylcarboxylic acid (D); and a solvent (E).
    Type: Application
    Filed: June 17, 2010
    Publication date: December 22, 2011
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Shigeo Kimura, Hirokazu Nishimaki, Tomoya Ohashi, Yuki Usui, Takahiro Kishioka
  • Publication number: 20110311916
    Abstract: A lithographic printing plate precursor can be used to prepare a printing plate using thermal ablation. The precursor has a non-thermally ablatable first layer on a substrate. Over the first layer is a thermally ablatable outer layer that includes an IR absorbing compound in an ablatable polymeric binder. The first layer includes a sol gel as a continuous inorganic matrix and a discontinuous inorganic phase (inorganic particles) dispersed therein.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 22, 2011
    Inventors: Mathias Jarek, Domenico Balbinot
  • Publication number: 20110300483
    Abstract: A coating process comprises forming a patterned material layer on a substrate using a self-segregating polymeric composition comprising a polymeric photoresistive material and an antireflective coating material contained in a single solution. When depositing this solution on a substrate and removing the solvent, the two materials self-segregate into two layers. This produces a coated substrate having a uniaxial bilayer coating oriented in a direction orthogonal to the substrate with a top photoresistive coating layer and a bottom antireflective coating layer. Pattern-wise exposing the coated substrate to imaging radiation and contacting the coated substrate with a developer, produces the patterned material layer. Any optional top coat material and a portion of the photoresist layer can be simultaneously removed from the coated substrate to form a patterned photoresist layer on the substrate.
    Type: Application
    Filed: July 25, 2011
    Publication date: December 8, 2011
    Applicant: International Business Machines Corporation
    Inventors: Joy Cheng, Dario L. Goldfarb, David R. Medeiros, Daniel P. Sanders, Dirk Pfeiffer, Libor Vyklicky
  • Patent number: 8057981
    Abstract: A polymer obtained through copolymerization of a monomer having a hexafluoroalcohol pendant and a monomer having a hexafluoroalcohol pendant whose hydroxyl moiety has been protected is useful as an additive to a photoresist composition and as a protective coating material for immersion lithography. When processed by immersion lithography, the resist composition and protective coating composition exhibit good water repellency and water slip and produce few development defects.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: November 15, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Publication number: 20110262863
    Abstract: A near-infrared absorptive layer is formed from a composition comprising (A) an acenaphthylene polymer, (B) a near-infrared absorbing dye, and (C) a solvent. When a multilayer film comprising the near-infrared absorptive layer and a photoresist layer is used in optical lithography, the detection accuracy of optical auto-focusing is improved, allowing the optical lithography to produce a definite projection image with an improved contrast and succeeding in forming a better photoresist pattern.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 27, 2011
    Inventors: Seiichiro TACHIBANA, Masaki Ohashi, Kazumi Noda, Shozo Shirai, Takeshi Kinsho, Wu-Song Huang, Dario L. Goldfarb, Wai-Kin Li, Martin Glodde
  • Publication number: 20110262862
    Abstract: A composition comprising (A) a near-infrared absorbing dye of formula (1), (B) a polymer, and (C) a solvent is used to form a near-infrared absorptive layer. In formula (1), R1 and R2 are a monovalent hydrocarbon group which may contain a heteroatom, k is 0 to 5, m is 0 or 1, n is 1 or 2, Z is oxygen, sulfur or C(R?)(R?), R? and R? are hydrogen or a monovalent hydrocarbon group which may contain a heteroatom, and X? is an anion.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 27, 2011
    Inventors: Masaki OHASHI, Seiichiro Tachibana, Kazumi Noda, Shozo Shirai, Takeshi Kinsho, Wu-Song Huang, Dario L. Goldfarb, Wai-Kin Li, Martin Glodde
  • Patent number: 8043787
    Abstract: Negative-working imageable elements are prepared with radiation-sensitive imageable layers that contain surface-modified silica particles such as fumed silica particles and sol-gel silica particles, that are present in an amount of from about 1 to about 40 weight %, have an average particle size of from about 1 to about 500 nm, have surface hydroxy groups, and have a carbon content of from about 0.5 to about 15 weight % that is derived from surface hydrophobic groups having 1 to 30 carbon atoms. The presence of the surface-modified silica particles provides improved abrasion resistance, reduced tackiness, and various other desired properties.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: October 25, 2011
    Assignee: Eastman Kodak Company
    Inventors: Gerhard Hauck, Celin Savariar-Hauck, Udo Dwars, Harald Baumann, Bernd Strehmel, Christopher D. Simpson
  • Patent number: 8039092
    Abstract: A main object of the present invention is to provide a pattern formed body capable of forming highly precise functional parts on various base materials, and a method for manufacturing the same. To achieve the object, the present invention provides a method for manufacturing a pattern formed body, having a plasma radiating step of radiating plasma to a patterning substrate having: a base material; an intermediate layer formed on the base material and containing a silane coupling agent or a polymer of the silane coupling agent; and a resin layer formed in a pattern form on the intermediate layer, wherein a fluorine gas is used as an introduction gas to radiate the plasma from the resin layer side.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: October 18, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Hironori Kobayashi
  • Patent number: 8039201
    Abstract: The present invention relates to an antireflective composition comprising a polymer, a thermal acid generator and optionally a crosslinking agent, where the polymer comprises at least one hydrophobic unit (1), at least one chromophore unit (2), at least one unit with a crosslinking site (3) and optionally a unit capable of crosslinking the polymer, where, R1 to R8 are independently selected from hydrogen and C1-C4 alkyl, W1 is a fully or partially fluorinated alkylene group, X is selected from F, H and OH; W2 comprises a chromophore group, and W3 Y comprises a crosslinking site. The invention also relates to a process for using the antireflective coating composition.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: October 18, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Zhong Xiang, Jian Yin, Weihong Liu
  • Patent number: 8034532
    Abstract: A topcoat material for application on top of a photoresist material is disclosed. The topcoat material comprises an acid-inert compound. The topcoat material also comprises a polymer or an oligomer or a cage structure which shows negligible intermixing with the imaging layer and is soluble in aqueous base developer. A method of forming a patterned material layer on a substrate and a coated substrate comprising the topcoat material is also disclosed.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Phillip Joe Brock, Carl E. Larson, Ratnam Sooriyakumaran, Linda Karin Sundberg, Hoa D Truong
  • Patent number: 8029973
    Abstract: A carrier substrate is provided with a layer of PDMS and curing agent on one side of the carrier substrate. The PDMS and curing agent can be arranged to receive and adhere to a lithographic substrate. The carrier substrate can be dimensioned such that the combined carrier substrate and lithographic substrate may be handled by a conventional lithographic apparatus.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: October 4, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Wilhelmus Johannes Maria De Laat, Cheng-Qun Gui, Peter Theodorus Maria Giesen, Paulus Wilhelmus Leonardus Van Dijk, Erwin Rinaldo Meinders, Maria Peter
  • Patent number: 8021826
    Abstract: The present invention provides an organic anti-reflection coating composition comprising a copolymer represented by the following Formula 1, a light absorbent, a thermal acid generating agent, and a curing agent: wherein R1, R2 and R3 are each independent to each; R1 represents hydrogen or an alkyl group having 1 to 10 carbon atoms; R2 represents hydrogen, an alkyl group having 1 to 10 carbon atoms or an arylalkyl group having 1 to 20 carbon atoms; R3 is hydrogen or a methyl group; m and n are repeating units in the main chain, while m+n=1, and they have values of 0.05<m/(m+n)<0.95 and 0.05<n/(m+n)<0.95. The anti-reflection coating using the polymer of the invention has excellent adhesiveness and storage stability, and a very high dry etching rate, and exhibits excellent resolution in both C/H patterns and L/S patterns.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: September 20, 2011
    Assignee: Korea Kumho Petrochemicals Co., Ltd.
    Inventors: Myung-Woong Kim, Joo-Hyeon Park, Young-Taek Lim, Hyung-Gi Kim, Jun-Ho Lee, Jong-Don Lee, Seung-Duk Cho
  • Patent number: 7993808
    Abstract: A coating material disposed overlying a photo sensitive layer during an immersion lithography process includes a polymer that is substantially insoluble to an immersion fluid and an acid capable of neutralizing a base quencher from the photo sensitive layer.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: August 9, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 7989144
    Abstract: The present invention relates to an antireflective composition comprising a thermal acid generator and an epoxy polymer comprising at least one unit of structure 1, at least one unit of structure 2, where, R1 to R12 are independently selected from hydrogen and C1-C4 alkyl, structure 1 has a configuration selected from cis, trans or mixture thereof, and x and y are the mole % of the monomeric units in the polymer. The invention also relates to a process for manufacturing a microelectronic device.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 2, 2011
    Assignee: AZ Electronic Materials USA Corp
    Inventors: M. Dalil Rahman, David Abdallah, Rhuzi Zhang, Douglas McKenzie
  • Patent number: 7981594
    Abstract: A hardmask composition includes an organic solvent and one or more aromatic ring-containing polymers represented by Formula 1, 2 and 3:
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: July 19, 2011
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Hwan Sung Cheon, Chang Il Oh, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7977030
    Abstract: A photosensitive resin composition, a photosensitive resin laminate, and a method for forming a pattern capable of realizing high hardness while using an epoxy group-containing acrylic resin are provided. In a photosensitive resin composition including (A) an epoxy group-containing acrylic resin, (B) a photopolymerization initiator, and (C) a sensitizer, an onium salt having a specific structure is used as the component (B), and at least one kind selected from 1,5-dihydroxynaphthalene, 2,3-dihydroxynaphthalene, and 2,6-dihydroxynaphthalene is used as the component (C).
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: July 12, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Koichi Misumi, Koji Saito
  • Publication number: 20110135891
    Abstract: Disclosed herein is a film-type photodegradable transfer material, comprising: a support film; a resin protection layer; a photodegradable photoresist layer; and a cover film, wherein the resin protection layer has an adhesion force of 0.05 kgf or less. When the film-type photodegradable transfer material is used to form a fine circuit pattern, such as a printed circuit board or the like, the resolution of the pattern can be increased by minimizing the distance between a mask and a photosensitive resin layer at the time of exposure, and work can be performed in the form of a sheet or a roll to roll process can be applied to the work even when the support film has been removed before an exposure process.
    Type: Application
    Filed: May 20, 2009
    Publication date: June 9, 2011
    Applicant: Kolon Industries, Inc.
    Inventors: Hee Wan Moon, Byeong Il Lee
  • Patent number: 7955776
    Abstract: Radiation-sensitive element comprising (a) a substrate and (b) a radiation-sensitive coating comprising (i) at least one component selected from photoinitiators and sensitizer/coinitiator systems which absorbs radiation of a wavelength in the range of 250 to 1,200 nm; (ii) at least one oligomer A of formula (I) wherein X1, X2 and X3 are independently selected from straight-chain or cyclic C4-C12 alkylene and C6-C10 arylene, a heterocyclic group, a heteroaromatic group and combinations of two or more of the above, R1, R2 and R3 are independently selected from (II) and (III) with the proviso that (1) n=0 in at least one of the groups R1, R2 and R3, and (2) n>2 in at least one of the groups R1, R2 and R3, and (3) at least one group R6 is different from H in formula (III).
    Type: Grant
    Filed: July 3, 2006
    Date of Patent: June 7, 2011
    Assignee: Eastman Kodak Company
    Inventors: Harald Baumann, Bernd Strehmel, Detlef Pietsch, Udo Dwars, Tanja Ebhardt, Axel Draber
  • Patent number: 7955782
    Abstract: Bottom antireflective coating (BARC) that exhibit enhanced wet strip rates, BARC compositions for fabricating such BARCs, and methods for manufacturing such BARC compositions are provided. According to one exemplary embodiment, a bottom antireflective coating (BARC) composition comprises an inorganic-based compound, an absorbing material, and a wet strip-rate modifier combination. The wet strip-rate modifier composition comprises a combination of a short chain linear alcohol and dipropylene glycol (DPG), a combination of the short chain linear alcohol and tetraethylene glycol (TEG), a combination of DPG and TEG, or a combination of the short chain linear alcohol, DPG, and TEG.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: June 7, 2011
    Assignee: Honeywell International Inc.
    Inventors: Sudip Mukhopadhyay, Joseph Kennedy, Yamini Pandey, Jelena Sepa
  • Patent number: 7955781
    Abstract: A negative-working photosensitive material is provided which includes: a support; an undercoat layer; and a photosensitive layer including a polymerization initiator, a polymerizable compound, and a binder polymer, wherein the support, the undercoat layer, and the photosensitive layer are sequentially layered, the undercoat layer includes a polymer including a structural unit (a) including at least one of a carboxylic acid or a carboxylic acid salt and a structural unit (b) including at least one carboxylic acid ester; and the content of the structural unit (a) in the polymer is from 30% to 90% by mole. Also, a negative-working planographic printing plate precursor including the negative-working photosensitive material is provided.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: June 7, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Shigefumi Kanchiku, Toyohisa Oya
  • Patent number: 7939244
    Abstract: New hardmask compositions comprising non-polymeric, metal-containing nanoparticles dispersed or dissolved in a solvent system and methods of using those compositions as hardmask layers in microelectronic structures are provided. The compositions are photosensitive and capable of being rendered developer soluble upon exposure to radiation. The inventive hardmask layer is patterned simultaneously with the photoresist layer and provides plasma etch resistance for subsequent pattern transfer.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: May 10, 2011
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero
  • Patent number: 7939245
    Abstract: The present invention relates to a light absorbent for organic anti-reflection coating formation, and an organic anti-reflection film composition containing the same. The light absorbent for organic anti-reflection film formation according to the present invention is a compound of the following formula (1a), a compound of the following formula (1b), a mixture of compounds of the formulas (1a) and (1b): wherein X is selected from the group consisting of a substituted or unsubstituted cyclic group having 1 to 20 carbon atoms, aryl, diaryl ether, diaryl sulfide, diaryl sulfoxide and diaryl ketone; and R1 is a hydrogen atom, a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, or an aryl group having 1 to 14 carbon atoms.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: May 10, 2011
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Joo-Hyeon Park, Ji-Young Kim, Jun-Ho Lee
  • Patent number: 7935473
    Abstract: A photosensitive composition includes a cyanine dye that has, on a methine chain thereof, a substituent which is a cation moiety of an onium salt structure.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: May 3, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Yu Iwai
  • Patent number: 7932018
    Abstract: The invention relates to an antireflective coating composition comprising a polymer, a crosslinker and a thermal acid generator, where the polymer comprises at least one unit of structure (1), at least one unit of structure (2) and at least one structure of structure (3), where R1 to R9 is independently selected from H and C1-C6 alkyl, R? and R? is independently selected from H and C1-C6 alkyl, X is C1-C6 alkylene, Y is C1-C6 alkylene. The invention further relates to a process for imaging a photoresist coated over the antireflective coating composition.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: April 26, 2011
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Douglas McKenzie, David Abdallah, Allen G. Timko, M. Dalil Rahman
  • Patent number: 7932013
    Abstract: There are provided a coating material which improves an etching resistance of a pattern in an etching process using a pattern formed on a substrate as a mask. The material is a pattern coating material for an etching process using a pattern formed on a substrate as a mask, including a metal compound (W) which can produce a hydroxyl group on hydrolysis.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: April 26, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shogo Matsumaru, Toshiyuki Ogata, Kiyoshi Ishikawa, Hideo Hada, Shigenori Fujikawa, Toyoki Kunitake
  • Publication number: 20110091811
    Abstract: A patternable adhesive film is formed in a double-layered structure of an adhesive layer having patternability and an adhesive layer having both adhesion and developability. Thus, the double-layered patternable adhesive film can effectively have both patternability and adhesion.
    Type: Application
    Filed: April 9, 2010
    Publication date: April 21, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sun Jin SONG, Chul Ho JEONG, Yong Seok HAN, Yi Yeol LYU
  • Patent number: 7923197
    Abstract: A lithographic printing plate precursor includes, in the following order: a support; an intermediate layer; and an image-forming layer, and the intermediate layer contains a polymer (A) comprising a repeating unit (a1) represented by the formula (I) as defined herein.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: April 12, 2011
    Assignee: Fujifilm Corporation
    Inventor: Tomoya Sasaki
  • Patent number: 7923071
    Abstract: The invention comprises methods for the photolithographic patterning of features in a photo-curable polymer composition coated onto a plastic substrate. In one embodiment of this invention, the plastic substrate is coated with a reflective film such as a metallic barrier. In another embodiment, the plastic substrate is coated or co-extruded with a polymer barrier layer containing an additive that absorbs the photo-curing radiation. In yet another embodiment the plastic substrate contains an intrinsic additive that absorbs the photo-curing radiation. Combinations of these embodiments are also within the scope of this invention. The methods of the present invention may be advantageously applied to the fabrication of optical waveguides comprising a photo-curable polymer supported on a plastic substrate, but are applicable to the fabrication of any device or object comprising a photo-curable polymer supported on a plastic substrate.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: April 12, 2011
    Assignee: RPO Pty Limited
    Inventors: Robert Charters, Dax Kukulj
  • Patent number: 7919222
    Abstract: Underlying coating compositions are provided for use with an overcoated photoresist composition. In one aspect, the coating composition can be crosslinked and comprise one or more components that contain one or more acid-labile groups and/or one or more base-reactive groups that are reactive following crosslinking. In another aspect, underlying coating composition are provided that can be treated to provide a modulated water contact angle. Preferred underlying coating compositions can exhibit enhanced etch rates in plasma etchants. Additional preferred coating compositions can enhance lithographic performance of an associated photoresist composition.
    Type: Grant
    Filed: January 26, 2007
    Date of Patent: April 5, 2011
    Assignee: Rohm and Haas Electronics Materials LLC
    Inventors: Vaishali Raghu Vohra, James W. Thackeray, Gerald B. Wayton
  • Patent number: 7910290
    Abstract: A method of forming an image using a topcoat composition. A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas TmR3 where m is equal to 8, 10 or 12 and QnMnR1,R2,R3 where n is equal to 8, 10 or 12 are provided. The functional groups include aqueous base soluble moieties. Mixtures of the functionalized polyhedral oligomeric silsesquioxanes derivatives are highly suitable as a topcoat for photoresist in photolithography and immersion photolithography applications.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Ratnam Sooriyakumaran, Linda Karin Sundberg
  • Patent number: 7892719
    Abstract: Embodiments of the present invention provide EUV (extreme ultraviolet) photoresists comprising photonic crystals, as well as other components. Photonic crystals in general provide the ability not only to block light transmission, but also to create resonant pockets in which light can propagate. The photonic crystals are based on bio-related polymers that are capable of self-assembly into crystalline form.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: February 22, 2011
    Assignee: Intel Corporation
    Inventor: Eric C. Hannah
  • Patent number: 7892720
    Abstract: To provide a negative photosensitive fluorinated aromatic resin composition having a low relative permittivity, low water absorptivity, high heat resistance and high productivity. A negative photosensitive fluorinated aromatic resin composition comprising the following fluorinated aromatic prepolymer, a photosensitizer and a solvent.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: February 22, 2011
    Assignee: Asahi Glass Company, Limited
    Inventors: Takeshi Eriguchi, Hiromasa Yamamoto, Kaori Tsuruoka
  • Publication number: 20110033800
    Abstract: Cyanurate compositions are provided that are particularly useful as a reagent to form a resin component of a coating composition underlying an overcoated photoresist. Preferred isocyanurates compound comprise substitution of multiple cyanurate nitrogen ring atoms by at least two distinct carboxy and/or carboxy ester groups.
    Type: Application
    Filed: May 18, 2010
    Publication date: February 10, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Anthony ZAMPINI, Vipul Jain, Cong Liu, Suzanne Coley, Owendi Ongayi
  • Publication number: 20110033801
    Abstract: Coating compositions for use with an overcoated photoresist are provided where the coating composition comprises a resin containing cyanurate groups and hydrophobic groups. The coating composition can enhance resolution of an overcoated photoresist relief image.
    Type: Application
    Filed: May 18, 2010
    Publication date: February 10, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Anthony Zampini, Gerald B. Wayton, Vipul Jain, Cong Liu, Suzanne Coley, Owendi Ongayi
  • Patent number: 7883827
    Abstract: The present invention provides a planographic printing plate precursor including on a support a photosensitive layer that contains a polymerizable composition containing a specific binder polymer having a repeating unit of formula (I), an infrared absorbent, a polymerization initiator and a polymerizable compound, wherein R1 represents a hydrogen atom or a methyl group; R2 represents a linking group which includes two or more atoms selected from a carbon atom, a hydrogen atom, an oxygen atom, a nitrogen atom and a sulfur atom and has a number of atoms of 2 to 82; A represents an oxygen atom or —NR3— in which R3 represents a hydrogen atom or a monovalent hydrocarbon group having 1 to 10 carbon atoms; and n represents an integer of 1 to 5. The invention also provides a planographic printing plate precursor provided with a specific photosensitive layer with respect to an alkaline developer.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: February 8, 2011
    Assignee: FUJIFILM Corporation
    Inventors: Atsushi Sugasaki, Kazuto Kunita, Kazuhiro Fujimaki
  • Patent number: 7879529
    Abstract: In the liquid immersion lithography process, by simultaneously preventing deterioration of a resist film and deterioration of an immersion liquid employed during liquid immersion lithography which uses various immersion liquids, including water, resistance to post exposure delay of the resist film can be improved without increasing the number of processes, thereby making it possible to form a high resolution resist pattern using liquid immersion lithography. Furthermore, it is possible to apply a high refractive index liquid immersion medium, used in combination with the high refractive index liquid immersion medium, thus making it possible to further improve pattern accuracy. Using a composition comprising an acrylic resin component having characteristics which have substantially no compatibility with a liquid in which a resist film is immersed, particularly water, and are also soluble in alkaline, a protective film is formed on the surface of a resist film used.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: February 1, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kotaro Endo, Masaaki Yoshida, Keita Ishizuka
  • Patent number: 7879530
    Abstract: A composition comprising (A) a polymer having an alcohol structure with plural fluorine atoms substituted at ?- and ??-positions and having k=0.01-0.4 and (B) an aromatic ring-containing polymer having k=0.3-1.2 is used to form an antireflective coating. The ARC-forming composition can be deposited by the same process as prior art ARCs. The resulting ARC is effective in preventing reflection of exposure light in photolithography and has an acceptable dry etching rate.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: February 1, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Seiichiro Tachibana, Kazumi Noda, Jun Hatakeyama, Takeshi Kinsho
  • Patent number: 7871761
    Abstract: Provided is a method for forming a resist lower layer material for use in a multilayer resist process, especially two-layer resist process or three-layer resist process, having a function of neutralizing an amine contaminant from a substrate, thereby reducing a harmful effect such as trailing skirts of a resist pattern of an upper layer resist. Specifically, there is provided a material for forming a lower layer of a chemically amplified photoresist layer comprising a crosslinkable polymer and a thermal acid generator that can generate an acid by heating at 100° C. or greater and is represented by the general formula (1a): R1CF2SO3?(R2)4N+??(1a), as well as a resist lower layer substrate comprising a resist lower layer formed using said material.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: January 18, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Toshihiko Fujii, Youichi Ohsawa
  • Publication number: 20110003250
    Abstract: In one aspect, organic coating compositions, particularly antireflective coating compositions, are provided that comprise that comprise a diene/dienophile reaction product. In another aspect, organic coating compositions, particularly antireflective coating compositions, are provided that comprise a component comprising a hydroxyl-naphthoic group, such as a 6-hydroxy-2-naphthoic group Preferred compositions of the invention are useful to reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing, conformal or via-fill layer.
    Type: Application
    Filed: June 10, 2010
    Publication date: January 6, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: John P. Amara, James F. Cameron, Jin Wuk Sung, Gregory P. Prokopowicz
  • Patent number: 7862990
    Abstract: An antireflective hardmask composition includes an organic solvent, an initiator, and at least one polymer represented by Formulae A, B, or C as set forth in the specification.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: January 4, 2011
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyung Hee Hyung, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7852537
    Abstract: An optical recording medium includes a first substrate having a groove on the side of an outer peripheral portion thereof; a second substrate in contact with the first substrate in the outer peripheral portion; and a recording layer being disposed in a gap between the first substrate and the second substrate and forming a void in cooperation with the first substrate at the portion of the groove.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: December 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akiko Hirao, Kazuki Matsumoto, Rumiko Hayase, Norikatsu Sasao, Takahiro Kamikawa
  • Patent number: 7851124
    Abstract: A composition for forming a film for protecting wiring which in one aspect includes a polyimide precursor, a compound having at least two photopolymerizable groups, and a photopolymerization initiator, wherein the polyimide precursor includes a polyimide precursor obtained from a diamine component comprising a defined diamine compound. In other aspects, a dry film for forming a wiring-protecting film using the composition and a substrate having wiring protected by means of the dry film are provided.
    Type: Grant
    Filed: March 2, 2005
    Date of Patent: December 14, 2010
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Katsuhiko Funaki, Shuji Tahara, Kazuhito Fujita, Takeshi Tsuda, Etsuo Ohkawado
  • Patent number: 7846638
    Abstract: There is provided a composition for forming anti-reflective coating for anti-reflective coating that has a good absorption of light at a wavelength utilized for manufacturing a semiconductor device, that exerts a high protection effect against light reflection, that has a high dry etching rate compared with the photoresist layer. Concretely, the composition for forming anti-reflective coating contains a triazine trione compound, oligomer compound or polymer compound having hydroxyalkyl structure as substituent on nitrogen atom.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: December 7, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Ken-ichi Mizusawa, Tomoyuki Enomoto, Rikimaru Sakamoto, Keisuke Nakayama, Yasuo Kawamura
  • Patent number: 7846639
    Abstract: The invention relates to an imaging element and a method of using the imaging element to form a recording element. The imaging element includes a composition sensitive to actinic radiation from a source of radiation having a range of wavelengths and a photoluminescent tag that is responsive to at least one wavelength from the source of radiation. The photoluminescent tag can be used to authenticate the identity of the element, provide information about the element, and/or to establish one or more conditions in a device used to prepare the recording element from the imaging element.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: December 7, 2010
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Adrian Lungu
  • Patent number: 7846637
    Abstract: The liquid immersion lithography process is configured so that the resist pattern resolution is improved by exposing a resist film to the lithographic exposure light under the conditions in which the predetermined thickness of the liquid for liquid immersion lithography, of which the refractive index is higher than that of air and smaller than that of the resist film is intervened at least on the resist film in a path of the lithography exposure light reaching the resist film, a protective film is formed on the surface of the resist film to be used. Therefore, when various immersion liquid, water being the representative example is used in the liquid immersion lithography process can be formed, the deterioration of the resist film and the immersion liquid to be used are simultaneously prevented, and the number of the process steps are not increased, and then the resist pattern having higher resolving ability.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: December 7, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Keita Ishizuka, Kazumasa Wakiya, Kotaro Endo, Masaaki Yoshida
  • Publication number: 20100297557
    Abstract: Organic coating compositions, particularly antireflective coating compositions, are provided that can be developed with an aqueous alkaline developer, including in a single step during development of an overcoated photoresist layer. Preferred coating compositions comprise a tetrapolymer that comprises at least four distinct functional groups.
    Type: Application
    Filed: February 8, 2010
    Publication date: November 25, 2010
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: James F. Cameron, Jin Wuk Sung, John P. Amara, Greogory P. Prokopowicz, David A. Valeri, Libor Vyklicky, Wu-Song S. Huang, Wenjie Li, Pushkara R. Varanasi, Irene Y. Popova
  • Publication number: 20100297556
    Abstract: Organic coating compositions, particularly antireflective coating compositions, are provided that comprise that comprise a diene/dienophile reaction product. Preferred compositions of the invention are useful to reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing, conformal or via-fill layer.
    Type: Application
    Filed: February 8, 2010
    Publication date: November 25, 2010
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: James F. Cameron, Jin Wuk Sung, John P. Amara, Gregory P. Prokopowicz, David A. Valeri