Identified Backing Or Protective Layer Containing Patents (Class 430/271.1)
  • Patent number: 7670752
    Abstract: A photosensitive resin composition which is excellent in storage stability required for one-part type compositions and in processability required for dry-film formation and gives a cured product suitable for FPC substrates or suspension substrates for hard-disc which each retains essential properties required for solder resists, such as heat resistance, water resistance, and electrical-insulation reliability, and has flexibility and low polluting property. The composition comprises: a compound which has a carbon-carbon double bond and carboxy group and is obtained by allowing a bisphenol type epoxy compound and an unsaturated monocarboxylic acid to react and then allowing a polybasic acid anhydride to undergo addition reaction with part or all of the secondary hydroxy groups of the reaction product; a urethane (meth)acrylate compound having a structure derived from a polycarbonate polyol structure; another polymerizable compound having a carbon-carbon double bond; and a photopolymerization initiator.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: March 2, 2010
    Assignee: Toagosei Co., Ltd.
    Inventors: Makoto Hirakawa, Masao Takei, Hiroshi Niizuma
  • Patent number: 7666575
    Abstract: The present invention relates to an antireflective coating composition comprising, (i) a thermal acid generator; (ii) a crosslinkable polymer comprising at least one aromatic group; and, (iii) a polymeric crosslinker comprising at least one unit of structure (6), where R11 to R13 is independently selected from H, (C1-C6) alkyl and aromatic group, R14 and R15 are independently (C1-C10) alkyl. The invention also relates to a process for imaging the antireflective coating composition of the present invention.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: February 23, 2010
    Assignee: AZ Electronic Materials USA Corp
    Inventors: Woo-Kyu Kim, Hengpeng Wu, David Abdallah, Mark Neisser, PingHung Lu, Ruzhi Zhang, M. Dalil Rahman
  • Patent number: 7662540
    Abstract: The present invention aims to provide a pattern forming material that is capable of suppressing generation of wrinkles and static electric charge on a substrate in a lamination step in which the pattern forming material is laminated on the substrate as well as capable of forming a fine and precise pattern; a pattern forming apparatus provided with the pattern forming material; and a pattern forming process using the pattern forming material. To this end, the present invention provides a pattern forming material having a support, a photosensitive layer, and a protective film, the photosensitive layer and the protective film being formed in this order on the support, wherein the number of fish-eyes each having an area of 2,000?m2 or more and a maximum height measured from the film surface of 1?m to 7?m residing in the protective film is 50/m2 to 1,000/m2.
    Type: Grant
    Filed: September 5, 2005
    Date of Patent: February 16, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Masanobu Takashima, Shinichiro Serizawa
  • Publication number: 20100035181
    Abstract: It is a problem to provide a resist underlayer film forming composition containing a fullerene derivative, which is easily applied on a substrate and from which a resist underlayer film excellent in dry etching properties can be obtained. The problem is solved by for example a resist underlayer film forming composition comprising: a fullerene derivative represented by Formula (3): (where, R4 represents one group selected from a group consisting of a hydrogen atom, an all group which optionally has a substituent, an aryl group which optionally has a substituent and a heterocyclic group which optionally has a substituent; and R5 represents an alkyl group which optionally has a substituent or an aryl group which optionally has a substituent); and an organic solvent.
    Type: Application
    Filed: April 4, 2008
    Publication date: February 11, 2010
    Applicant: Nissan Chemical Industries, ltd.
    Inventors: Takahiro Sakaguchi, Tetsuya Shinjo
  • Patent number: 7659051
    Abstract: A naphthalene-backbone polymer represented by Formula 1: wherein n and m are independently at least 1 and less than about 190, R1 is a hydrogen, a hydroxyl, a hydrocarbon group of about 10 carbons or less, or a halogen, R2 is methylene or includes an aryl linking group, R3 is a conjugated diene group, and R4 is an unsaturated dienophile group.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: February 9, 2010
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyung Hee Hyung, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7655389
    Abstract: A composition for forming a photosensitive organic anti-reflective layer includes about 0.5 to about 5 percent by weight of an acid-labile thermal cross-linking agent that is decomposed by an epoxy group and a photo-acid generator, about 10 to about 22 percent by weight of a copolymer resin that includes an acrylate monomer containing anthracene or a methacrylate monomer containing anthracene, about 0.1 to about 1 percent by weight of a photo-acid generator, and a solvent.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: February 2, 2010
    Assignees: Samsung Electronics Co., Ltd., Seoul National University Industry Foundation
    Inventors: Sang-Woong Yoon, Jong-Chan Lee, Ki-Ok Kwon, Sang-Ho Cha, Geun Huh
  • Patent number: 7655365
    Abstract: It is a main object of the present invention to provide a wettability variable substrate provided with a wettability variable layer which is free from any cloud and is superior in adhesion to a substrate, transparency and liquid repellency.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: February 2, 2010
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kaori Yamashita, Hironori Kobayashi
  • Patent number: 7655377
    Abstract: An antireflection film wherein, even where exposure light enters obliquely in a liquid immersion lithography technique, a sufficiently reduced reflectance can be achieved at the interface between a resist layer and a silicon substrate. A two-layer antireflection film is used in exposure by an exposure system having a wavelength of 190 to 195 nm and a numerical aperture of 1.0 or less and formed between the resist layer and the silicon substrate. Where complex refractive indices N1 and N2 and film thicknesses of upper and lower layers of the antireflection film are represented by n1-k1i, n2-k2i and d1, d2, respectively, and a predetermined combination of values of [n10, k10, d10, n20, k20, d20] is selected, n1, k1, d1, n2, k2 and d2 satisfy {(n1-n10)/(n1m-n10)}2+{(k1-k10)/(k1m-k10)}2+{(d1-d10)/(d1m-d10)}2+{(n2-n20)/(n2m-n20)}2+{(k2-k20)/(k2m-k20)}2+{(d2-d20)/(d2m-d20)}2?1.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: February 2, 2010
    Assignee: Sony Corporation
    Inventors: Nobuyuki Matsuzawa, Yoko Watanabe, Boontarika Thunnakart, Ken Ozawa, Yuko Yamaguchi
  • Patent number: 7655386
    Abstract: An antireflective hardmask composition includes an organic solvent, and at least one polymer represented by Formulae A, B or C: In Formulae A and B, the fluorene group is unsubstituted or substituted, in Formula C, the naphthalene group is unsubstituted or substituted, n is at least 1 and is less than about 750, m is at least 1, and m+n is less than about 750, G is an aromatic ring-containing group having an alkoxy group, and R1 is methylene or includes a non-fluorene-containing aryl linking group.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: February 2, 2010
    Assignee: Cheil Industries, Inc.
    Inventors: Kyung Hee Hyung, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyong Ho Yoon, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7645499
    Abstract: The optical information recording medium of the present invention includes a plurality of information layers provided on a substrate and an optical separating layer provided between information layer adjacent to each other, and information is recorded or reproduced by irradiation of a laser beam. When an information layer that is provided closest to a laser beam incident side of the plurality of information layers is taken as a first information layer and an optical separating layer provided in contact with the first information layer is taken as a first optical separating layer, then the first information layer comprises a recording layer, a transmittance adjusting layer that adjusts a transmittance of the first information layer, and a low refractive index layer provided between the transmittance adjusting layer and the first optical separating layer.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: January 12, 2010
    Assignee: Panasonic Corporation
    Inventors: Haruhiko Habuta, Ken'ichi Nagata, Yoshitaka Sakaue, Hideo Kusada
  • Patent number: 7642034
    Abstract: A polymer comprising repeat units having formula (1) wherein R1 and R2 are hydrogen or C1-C12 alkyl, or R1 and R2 may bond together to form a ring, and R30 is hydrogen or methyl is used to formulate a resist protective coating material. A protective coating formed therefrom on a resist film is water-insoluble, dissolvable in alkali aqueous solution or alkaline developer, and immiscible with the resist film so that the immersion lithography can be conducted in a satisfactory manner. During alkali development, development of the resist film and removal of the protective coating can be simultaneously achieved.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: January 5, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Yuji Harada
  • Patent number: 7638262
    Abstract: The invention relates to an antireflective coating composition for a photoresist layer comprising a polymer, a crosslinking agent and an acid generator, where the polymer comprises at least one unit of structure 1, where, A is a nonaromatic linking moiety, R? and R? are independently selected from hydrogen, Z and W—OH, where Z is a (C1-C20) hydrocarbyl moiety and W is a (C1-C20) hydrocarbyl linking moiety, and, Y? is independently a (C1-C20) hydrocarbyl linking moiety. The invention further relates to a process for imaging the antireflective coating composition.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: December 29, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Hengpeng Wu, Zhong Xiang, Hong Zhuang, Jianhui Shan, Jian Yin, Huirong Yao, PingHung Lu
  • Publication number: 20090311624
    Abstract: To provide a resist underlayer film forming composition for lithography that is used in a lithography process for production of a semiconductor device. There is provided a resist underlayer film forming composition used in a lithography process for production of a semiconductor device, comprising a resin (A), a liquid additive (B) and a solvent (C). The liquid additive (B) may be an aliphatic polyether compound. The liquid additive (B) may be a polyether polyol, polyglycidyl ether or a combination thereof. Further, there is provided a method of manufacturing a semiconductor device, including the steps of forming a resist underlayer film by applying the resist underlayer film forming composition on a semiconductor substrate and by calcining the composition; forming a photoresist layer on the underlayer film; exposing the semiconductor substrate coated with the resist underlayer film and the photoresist layer to light; and developing the photoresist layer after the exposure to light.
    Type: Application
    Filed: August 20, 2007
    Publication date: December 17, 2009
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Yusuke Horiguchi, Tetsuya Shinjo, Satoshi Takei
  • Patent number: 7632622
    Abstract: Hardmask compositions having antireflective properties useful in lithographic processes, methods of using the same, and semiconductor devices fabricated by such methods, are provided. Antireflective hardmask compositions of the invention include: (a) a polymer mixture including a first polymer that includes one or more of the following monomeric units wherein A is a bivalent radical selected from the group consisting of carbonyl, oxy, alkylene, fluoroalkylene, phenyldioxy, and any combination thereof; R1 and R2 are each independently a bivalent radical selected from the group consisting of an alkylene, an arylene, and any combination thereof; and x, y, and z are 0 or integers; and a second polymer including an aryl group; (b) a crosslinking component; and (c) an acid catalyst.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: December 15, 2009
    Assignee: Cheil Industries, Inc.
    Inventors: Dong Sun Uh, Ji Young Jung, Jae Min Oh, Chang Il Oh, Do Hyeon Kim
  • Patent number: 7632631
    Abstract: A method is provided for forming a stable thin film on a substrate. The method includes depositing a co-polymer composition having a first component and a second component onto a substrate to form a stable film having a first thickness. The first component has first dielectric properties not enabling the first component by itself to produce the stable film having the first thickness. However, the second component has second dielectric properties which impart stability to the film at the first thickness. In a preferred embodiment, the second component includes a leaving group, and the method further includes first thermal processing the film to cause a solvent but not the leaving group to be removed from the film, after which second thermal processing is performed to at least substantially remove the leaving group from the film. As a result, the film is reduced to a second thickness smaller than the first thickness, and the film remains stable during both the first and the second thermal processing.
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: December 15, 2009
    Assignee: International Business Machines Corporation
    Inventors: Steven A. Scheer, Colin J. Brodsky
  • Patent number: 7632624
    Abstract: A material comprising a specific bisphenol compound of formula (1) is useful in forming a photoresist undercoat wherein R1 and R2 are H, alkyl, aryl or alkenyl, R3 and R4 are H, alkyl, alkenyl, aryl, acetal, acyl or glycidyl, R5 and R6 are alkyl having a ring structure, or R5 and R6 bond together to form a ring. The undercoat-forming material has an extinction coefficient sufficient to provide an antireflective effect at a thickness of at least 200 nm, and a high etching resistance as demonstrated by slow etching rates with CF4/CHF3 and Cl2/BCl3 gases for substrate processing.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: December 15, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Toshihiko Fujii, Takeru Watanabe, Katshiro Kobayashi
  • Patent number: 7632626
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer having an ethylenedicarbonyl structure and a solvent; an anti-reflective coating formed from the composition; and a method for forming photoresist pattern by use of the composition. The anti-reflective coating obtained from the composition can be used in lithography process for manufacturing a semiconductor device, has a high preventive effect for reflected light, causes no intermixing with photoresists, and has a higher etching rate than photoresists.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: December 15, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Rikimaru Sakamoto
  • Patent number: 7625695
    Abstract: An anti-reflective coating composition includes a solvent and about 20 to about 35 percent by weight of a polymer prepared by a condensation reaction of an acrylate polymer including a hydroxyl group with a derivative of muramic acid and a derivative of mandelic acid.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: December 1, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Sik Moon, Ji-Young Kim, Joon-Seok Oh
  • Publication number: 20090291392
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Application
    Filed: June 4, 2009
    Publication date: November 26, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 7618762
    Abstract: A polymer having a polymerizable group and an alkyleneoxy groups on side chains thereof, and a polymerizable composition containing the polymer. The polymerizable composition preferably contains a polymerizable compound and a polymerization initiator. Also provided is a planographic printing plate precursor having a polymerizable layer on a hydrophilic support, the polymerizable layer containing a polymer having a polymerizable on a side chain thereof. The planographic printing plate precursor can form an image without being subjected to an alkali development. An undercoat layer containing a specific copolymer may be provided between the support and the photopolymerizable layer.
    Type: Grant
    Filed: March 10, 2008
    Date of Patent: November 17, 2009
    Assignee: Fujifilm Corporation
    Inventors: Kazuto Kunita, Sumiaki Yamasaki
  • Patent number: 7608380
    Abstract: Anti-reflective compositions and methods of using these compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In a preferred embodiment, the polymers are copolymers of a compound having the formulas and a compound having the formula where: (1) each R is individually selected from the group consisting of —OH, —NH2, hydrogen, aliphatics, and phenyls; and (2) L is selected from the group consisting of —SO2— and —CR?2—. The resulting compositions are spin bowl compatible (i.e., they do not crosslink prior to the bake stages of the microlithographic processes or during storage at room temperature), are wet developable, and have superior optical properties.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: October 27, 2009
    Assignee: Brewer Science Inc.
    Inventors: Robert Christian Cox, Charles J. Neef
  • Patent number: 7608386
    Abstract: The present invention can provide a resist cover film-forming material which is suitably used for a resist cover film for liquid immersion exposure and can transmit ArF excimer laser lights and provide a process for forming a resist pattern using the resist cover film-forming material. The resist cover film-forming material contains a resin having an alicyclic skeleton at any of the main chain and the side chains; it is nonphotosensitive and is used in forming a resist cover film that covers a resist film during liquid immersion exposure. The process for forming of a resist pattern includes forming a resist film on a surface of a workpiece to be processed, forming a resist cover film on the resist film using the resist cover film-forming material of the present invention, irradiating the resist film with exposure light through the resist cover film by liquid immersion exposure, and developing the resist film.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: October 27, 2009
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Miwa Kozawa
  • Patent number: 7608385
    Abstract: The information recording medium of the present invention comprises at least one of the following oxide-based material layers: (I) an oxide-based material layer containing Zr, at least one element selected from the group GL1 consisting of La, Ga and In, and oxygen (O); (II) an oxide-based material layer containing M1 (where M1 is a mixture of Zr and Hf, or Hf), at least one element selected from the group GL2 consisting of La, Ce, Al, Ga, In, Mg and Y, and O; (III) an oxide-based material layer containing at least one element selected from the group GM2 consisting of Zr and Hf, at least one element selected from the group GL2, Si, and O; and (IV) an oxide-based material layer containing at least one element selected from the group GM2, at least one element selected from the group GL2, Cr, and O. This oxide-based material layer can be used, for example, as a dielectric layer.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: October 27, 2009
    Assignee: Panasonic Corporation
    Inventors: Rie Kojima, Yukako Doi, Noboru Yamada
  • Patent number: 7604921
    Abstract: A black matrix composition includes about 40 parts by weight of a pigment dispersion, about 0.1 to about 1.0 part by weight of a photoinitiator, about 5 to about 20 parts by weight of a photo-polymerizable monomer, about 5 to about 20 parts by weight of a binder resin including an acryl-based copolymer containing fluorine and having a hydroxyl group combined with a side chain of the acryl-based copolymer, about 0.1 to about 0.5 part by weight of epoxy-based monomer containing fluorine and about 35 to about 55 parts by weight of a solvent. A black matrix pattern formed of the black matrix minimizes an ink bleed, thereby improving color property of a liquid crystal display device to which the black matrix pattern is applied.
    Type: Grant
    Filed: September 21, 2005
    Date of Patent: October 20, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Ho Kang, Byoung-Joo Kim, Jang-Sub Kim, Seong-Gyu Kwon
  • Patent number: 7604923
    Abstract: The present invention provides an image forming method comprising the steps of exposing imagewisely an image forming material having a photosensitive layer comprising an infrared absorbing agent, a polymerization initiator and a polymerizable compound on a substrate to overlapping infrared beams. The solubility of the photosensitive layer in an alkali developing solution reduces upon exposure to light of wavelengths in the range of 750 nm to 1400 nm. The exposed image forming material is developed, and the infrared beam diameter used in light exposure is 20 ?m or less, and the overlapping coefficient is 0.8 or more.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: October 20, 2009
    Assignee: FUJIFILM Corporation
    Inventor: Takahiro Goto
  • Patent number: 7601483
    Abstract: Novel, wet developable anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker and a photoacid generator. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light, the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers).
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: October 13, 2009
    Assignee: Brewer Science Inc.
    Inventors: Douglas J. Guerrero, Robert Christian Cox, Marc W. Weimer
  • Publication number: 20090246688
    Abstract: A negative-working lithographic printing plate precursor is disclosed that can be developed on the press without going through a development processing step, and a method of lithographic printing is also disclosed that uses this negative-working lithographic printing plate precursor. A negative-working lithographic printing plate precursor is provided that exhibits excellent on-press developability, nonimage area fine line reproducibility and printing durability and that resists the production of scum during on-press development.
    Type: Application
    Filed: March 27, 2009
    Publication date: October 1, 2009
    Inventors: Norio AOSHIMA, Yu IWAI
  • Patent number: 7595146
    Abstract: A method of creating a graded anti-reflective coating (ARC) layer on a thin film is described. The method includes forming the thin film on a substrate, forming an ARC layer on the thin film, and applying a solvent to the ARC layer causing it to swell. A photo-resist layer is formed on the swollen ARC layer. A mixing layer is formed by the diffusion of components from the swollen ARC layer to the photo-resist layer and vice versa. The mixing layer has optical qualities that are distinct from those of either of the ARC layer or the photo-resist layer. The mixing layer forms the graded ARC layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: September 29, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Mark H. Somervell
  • Patent number: 7595144
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer compound, a crosslinking compound, a crosslinking catalyst, a sulfonate compound and a solvent. The anti-reflective coating obtained from the composition has a high preventive effect for reflected light, causes no intermixing with photoresists, has a higher dry etching rate compared with photoresists, can form a photoresist pattern having no footing at the lower part, and can use in lithography process by use of a light such as ArF excimer laser beam and F2 excimer laser beam, etc.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: September 29, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Tadashi Hatanaka, Shigeo Kimura
  • Patent number: 7588879
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: September 15, 2009
    Assignee: International Business Machines Corporation
    Inventors: Colin J. Brodsky, Sean D. Burns, Dario L. Goldfarb, Michael Lercel, David R. Medeiros, Dirk Pfeiffer, Daniel P. Sanders, Steven A. Scheer, Libor Vyklicky
  • Patent number: 7585612
    Abstract: Underlying coating compositions are provided for use with an overcoated photoresist composition. In one aspect, the coating composition can be crosslinked and comprise one or more components that contain one or more acid-labile groups and/or one or more base-reactive groups that are reactive following crosslinking. In another aspect, underlying coating composition are provided that can be treated to provide a modulated water contact angle. Preferred coating compositions can enhance lithographic performance of an associated photoresist composition.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: September 8, 2009
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: James W. Thackeray, Gerald B. Wayton, Charles R. Szmanda
  • Patent number: 7585609
    Abstract: The present invention provides methods for forming images in positive- or negative-tone chemically amplified photoresists. The methods of the present invention rely on the vertical up-diffusion of photoacid generated by patternwise imaging of an underlayer disposed on a substrate and overcoated with a polymer containing acid labile functionality. In accordance with the present invention, the vertical up-diffusion can be the sole mechanism for imaging formation or the methods of the present invention can be used in conjunction with conventional imaging processes.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Carl Eric Larson, Gregory Michael Wallraff
  • Patent number: 7582411
    Abstract: An antireflective film is provided between a resist layer and a silicon oxide layer formed on a surface of a silicon substrate, for exposure of the resist layer in an exposure system having a wavelength of 190 nm to 195 nm and a numerical aperture NA of 0.93 to 1.2. Assuming that the complex refractive indexes of upper and lower layers constituting the antireflective film are N1 (=n1?k1i) and N2 (=n2?k2i), respectively, and the thicknesses of both layers are d1 and d2, when a predetermined combination of values of [n10, k10, d10, n20, k20, d20] is selected, n1, k1, d1, n2, k2, and d2 satisfy the relational expression {(n1?n10)/(n1m?n10)}2+{(k1?k10)/(k1m?k10)}2+{(d1?d10)/(d1m?d10)}2+{(n2?n20)/(n2m?n20)}2+{(k2?k20)/(k2m?k20)}2+{(d2?d20)/(d2m?d20)}2?1.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: September 1, 2009
    Assignee: Sony Corporation
    Inventors: Nobuyuki Matsuzawa, Yoko Watanabe, Boontarika Thunnakart, Ken Ozawa
  • Patent number: 7582360
    Abstract: In a first aspect, organic coating compositions are provided, particularly spin-on antireflective coating compositions, that contain a polyester resin component. In a further aspect, coating compositions are provided that contain a resin component obtained by polymerization of a multi-hydroxy compound. Coating compositions of the invention are particularly useful employed in combination with an overcoated photoresist layer to manufacture integrated circuits.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: September 1, 2009
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Gerald B. Wayton, Peter Trefonas, III, Suzanne Coley, Tomoki Kurihara
  • Patent number: 7582407
    Abstract: Single- and multi-layer positive-working imageable elements include an ink receptive outer layer includes a primary polymeric binder that is a poly(vinyl phenol) or a phenolic polymer having certain acidic groups. The use of this type of polymeric binder makes the imaged elements developable in low pH (11 or less) alkaline developers.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: September 1, 2009
    Assignee: Eastman Kodak Company
    Inventors: Celin Savariar-Hauck, Alan S. Monk, Gerhard Hauck
  • Patent number: 7582410
    Abstract: A laser-decomposable resin composition contains: a compound including at least one structure selected from a carboxyl group and a carboxylic acid anhydride structure and at least one hetero atom selected from N, S and O atoms other than the structure; and a binder polymer.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: September 1, 2009
    Assignee: FUJIFILM Corporation
    Inventor: Atsushi Sugasaki
  • Publication number: 20090208867
    Abstract: A polymer obtained through copolymerization of a monomer having a hexafluoroalcohol pendant and a monomer having a hexafluoroalcohol pendant whose hydroxyl moiety has been protected is useful as an additive to a photoresist composition and as a protective coating material for immersion lithography. When processed by immersion lithography, the resist composition and protective coating composition exhibit good water repellency and water slip and produce few development defects.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 20, 2009
    Inventors: Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Publication number: 20090202941
    Abstract: Silsesquioxane-based compositions that contain (a) silsesquioxane resins that contain HSiO3/2 units and RSiO3/2 units wherein; R is an acid dissociable group, and (b) least one organic base additive selected from bulky tertiary amines, imides, amides and the polymeric amines wherein the organic base additive contains an electron-attracting group with the provision that the organic base additive is not 7-diethylamino-4-methylcoumarin. The silsesquioxane-based compositions are useful as positive resist compositions in forming patterned features on substrate, particularly useful for multi-layer layer (i.e. bilayer) 193 nm & 157 nm photolithographic applications.
    Type: Application
    Filed: June 27, 2007
    Publication date: August 13, 2009
    Applicant: Dow Corning Corporation
    Inventors: Sanlin Hu, Eric Scott Moyer
  • Patent number: 7563563
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: July 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 7553905
    Abstract: Novel self-crosslinking polymers are provided and which are useful in antireflective coatings to reduce outgassing.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: June 30, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David J. Abdallah, Jian Yin, Mark O. Neisser
  • Patent number: 7550249
    Abstract: Base soluble polymer comprising at least one sulfonyl group where at least one carbon atom at ?-position and/or ?-position and/or ?-position with respect to the sulfonyl group has a hydroxyl group, where the hydroxyl group is protected or unprotected are described.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: June 23, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan
  • Patent number: 7550254
    Abstract: Fluorocarbinol- and/or fluoroacid-functionalized silsesquioxane polymers and copolymers are provided. The polymers are substantially transparent to ultraviolet radiation (UV), i.e., radiation of a wavelength less than 365 nm and are also substantially transparent to deep ultraviolet radiation (DUV), i.e., radiation of a wavelength less than 250 nm, including 157 nm, 193 nm and 248 nm radiation, and are thus useful in single and bilayer, positive and negative, lithographic photoresist compositions, providing improved sensitivity and resolution. A process for using the composition to generate resist images on a substrate is also provided, i.e., in the manufacture of integrated circuits or the like.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ratnam Sooriyakumaran, Robert David Allen, Debra Fenzel-Alexander
  • Patent number: 7547501
    Abstract: The present application relates to photoactive materials having the formula wherein C1+ is a cation; each of R30, R31, R32, R33, R34, R35, R36, R37, R38, R39, R40, and R41 are selected from hydrogen, alkyl, alkyl chain optionally containing one or more O atoms, halide, aryl, aralkyl, alkoxyalkyl, cycloalkyl, hydroxyl, and alkoxy, the alkyl, alkyl chain optionally containing one or more O atoms, aryl, aralkyl, alkoxyalkyl, cycloalkyl, and alkoxy groups being unsubstituted or substituted.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: June 16, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Ralph R. Dammel, M. Dalil Rahman, David L. Rentkiewicz, Karl van Werden
  • Publication number: 20090148792
    Abstract: A method for preparing a lithographic printing plate includes: exposing imagewise a lithographic printing plate precursor comprising, in the following order, a hydrophilic support, a photosensitive layer containing (A) a sensitizing dye, (B) a polymerization initiator, (C) a polymerizable compound and (D) a binder polymer and a protective layer containing at least one acid-modified polyvinyl alcohol in an amount of 50% by weight or more based on a total solid content of the protective layer; and removing the protective layer and an unexposed area of the photosensitive layer with a developer having pH of from 2 to 8.
    Type: Application
    Filed: December 10, 2008
    Publication date: June 11, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Toshifumi INNO
  • Patent number: 7544463
    Abstract: A photosensitive structure for flexographic printing having a support (A) and, laminated thereon, an adhesive layer (B) and a photosensitive resin layer (C) different from said adhesive layer (B), wherein said adhesive layer (B) is an adhesive layer (B) comprising a thermoplastic elastomer (a) derived from at least one monovinyl substituted aromatic hydrocarbon and a conjugated diene, at least one ethylenically unsaturated compound (b) and at least one polymerization initiator (c), wherein said ethylenically unsaturated compound (b) comprises at least one (meth)acrylate (i) having one or more aromatic rings and/or one or more hydroxyl groups in the molecule thereof.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: June 9, 2009
    Assignee: Asahi Kasei Chemicals Corporation
    Inventors: Masaki Matsumoto, Hiroshi Yamada
  • Patent number: 7541132
    Abstract: A resist film made of a chemically amplified resist material including a polymer; a photo-acid generator and carbamoyl oxime is formed on a substrate. Subsequently, pattern exposure is performed by selectively irradiating the resist film with exposing light. After the pattern exposure, the resist film is baked, and the baked resist film is developed, so as to form a resist pattern made of the resist film.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: June 2, 2009
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endo, Masaru Sasago
  • Publication number: 20090130594
    Abstract: There is provided an underlayer coating that is used as an underlayer of photoresists in lithography process of the manufacture of semiconductor devices and that has a high dry etching rate in comparison to the photoresists, does not intermix with the photoresists, and is capable of flattening the surface of a semiconductor substrate having holes of a high aspect ratio; and an underlayer coating forming composition for forming the underlayer coating. The underlayer coating forming composition for forming by light irradiation an underlayer coating used as an underlayer of a photoresist in a lithography process of the manufacture of semiconductor devices, comprises a polymerizable substance and a photopolymerization initiator.
    Type: Application
    Filed: April 11, 2006
    Publication date: May 21, 2009
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Satoshi Takei, Tetsuya Shinjo, Motohiko Hidaka
  • Patent number: 7534543
    Abstract: A thermal transfer donor element is provided which includes a support, light-to-heat conversion layer, interlayer, and thermal transfer layer. When the donor element is brought into contact with a receptor and imagewise irradiated, a portion of the transfer layer is transferred to the receptor. The relative surface texture of the layers can be at least partially controlled, prior to imaging of the donor element, for desired effects in the resulting receptor device. The construction and process of the donor element is useful in making colored images including applications such as color proofs, color filter elements, and organic light emitting displays.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: May 19, 2009
    Assignee: 3M Innovative Properties Company
    Inventors: Leslie A. Kreilich, Vivian W. Jones, Khanh T. Huynh, John S. Staral, Sergey A. Lamansky, Ha T. Le, John P. Baetzold
  • Patent number: 7531297
    Abstract: Disclosed herein is an organic anti-reflective coating polymer suitable for use in ultrafine pattern formation during fabrication of a semiconductor device. The organic anti-reflective coating polymer has a weight-average molecular weight of about 2,000 to about 100,000 and is represented by Formula 1 below: wherein R1 is a C1-C5 linear or branched alkyl group, R2 and R3 are each independently hydrogen or methyl, X is halogen, n is a number from 1 to 5, and a, b and c, representing the mole fraction of each monomer, are each independently from about 0.1 to about 0.9. Also disclosed are an organic anti-reflective coating composition comprising the coating polymer and a method for forming a photoresist pattern with the coating composition.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: May 12, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: RE41128
    Abstract: New polymers and new anti-reflective compositions containing such polymers are provided. The compositions comprise a polymer (e.g., epoxy cresol novolac resins) bonded with a chromophore (4-hydroxybenzoic acid, trimellitic anhydride). The inventive compositions can be applied to substrates (e.g., silicon wafers) to form anti-reflective coating layers having high etch rates which minimize or prevent reflection during subsequent photoresist exposure and developing.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: February 16, 2010
    Assignee: Brewer Science Inc.
    Inventor: Shreeram V. Deshpande