Silicon Containing Backing Or Protective Layer Patents (Class 430/272.1)
  • Publication number: 20100105213
    Abstract: An amorphous carbon film forming method is performed by using a parallel plate type plasma CVD apparatus in which an upper electrode and a lower electrode are installed within a processing chamber, and the method includes: disposing a substrate on the lower electrode; supplying carbon monoxide and an inert gas into the processing chamber; decomposing the carbon monoxide by applying a high frequency power to at least the upper electrode and generating plasma; and depositing amorphous carbon on the substrate. It is desirable that the upper electrode is a carbon electrode.
    Type: Application
    Filed: February 21, 2008
    Publication date: April 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Tadakazu Murai, Eisuke Morisaki
  • Patent number: 7704680
    Abstract: Ultrafine patterns with dimensions smaller than the chemical and optical limits of lithography are formed by superimposing two photoresist patterns using a double exposure technique. Embodiments include forming a first resist pattern over a target layer to be patterned, forming a protective cover layer over the first resist pattern, forming a second resist pattern on the cover layer superimposed over the first resist pattern while the cover layer protects the first resist pattern, selectively etching the cover layer with high selectivity with respect to the first and second resist patterns leaving an ultrafine target pattern defined by the first and second resist patterns, and etching the underlying target layer using the superimposed first and second resist patterns as a mask.
    Type: Grant
    Filed: June 8, 2006
    Date of Patent: April 27, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ryoung-Han Kim, Jong-wook Kye
  • Publication number: 20100086872
    Abstract: There is disclosed a thermosetting metal oxide-containing film-forming composition for forming a metal oxide-containing film to be formed in a multilayer resist process used in lithography, the thermosetting metal oxide-containing film-forming composition comprising, at least: (A) a metal oxide-containing compound obtained by hydrolytic condensation of a hydrolyzable silicon compound and a hydrolyzable metal compound; (B) a thermal crosslinking accelerator; (C) a monovalent, divalent, or higher organic acid having 1 to 30 carbon atoms; (D) a trivalent or higher alcohol; and (E) an organic solvent.
    Type: Application
    Filed: August 21, 2009
    Publication date: April 8, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Patent number: 7687228
    Abstract: An antireflection film composition, wherein an etching speed is fast, thus, when used as a resist lower layer, a film loss of a resist pattern and deformation of the pattern during etching can be minimized, and because of a high crosslinking density, a dense film can be formed after thermal crosslinking, thus, mixing with an upper layer resist can be prevented and the resist pattern after development is good is provided. The antireflection film composition comprising; at least a polymer having a repeating unit represented by the following general formula (I).
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: March 30, 2010
    Assignee: Shin Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazumi Noda, Seiichiro Tachibana, Takeshi Kinsho, Tsutomu Ogihara
  • Patent number: 7678529
    Abstract: A multilayer resist process comprises forming in sequence an undercoat film, an intermediate film, and a photoresist film on a patternable substrate, and effecting etching in multiple stages. A silicon-containing film forming composition is useful in forming the intermediate film serving as an etching mask, comprising a silicon-containing polymer obtained through hydrolytic condensation of at least one Si—Si bond-containing silane compound having formula: R(6-m)Si2Xm wherein R is a monovalent hydrocarbon group, X is alkoxy, alkanoyloxy or halogen, and m is 3 to 6. The composition allows the overlying photoresist film to be patterned to a satisfactory profile and has a high etching selectivity relative to organic materials.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: March 16, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takeshi Asano, Motoaki Iwabuchi, Takafumi Ueda
  • Publication number: 20100047712
    Abstract: The present invention discloses a composition suitable for use as a top antireflective coating and barrier layer for immersion lithography. The inventive composition is soluble in aqueous base solutions and insoluble in water. The inventive composition comprises a polymer having at least one hydrophobic moiety, at least one acidic moiety with a pKa of 1 or less, and at least one aqueous base soluble moiety. The present invention also discloses a method of forming a patterned layer on a substrate by using the inventive composition in lithography.
    Type: Application
    Filed: October 26, 2009
    Publication date: February 25, 2010
    Applicant: International Business Machines Corporation
    Inventors: Mahmoud Khojasteh, Wu-Song Huang, Margaret C. Lawson, Kaushal S. Patel, Irene Popova, Pushkara R. Varanasi
  • Patent number: 7659051
    Abstract: A naphthalene-backbone polymer represented by Formula 1: wherein n and m are independently at least 1 and less than about 190, R1 is a hydrogen, a hydroxyl, a hydrocarbon group of about 10 carbons or less, or a halogen, R2 is methylene or includes an aryl linking group, R3 is a conjugated diene group, and R4 is an unsaturated dienophile group.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: February 9, 2010
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyung Hee Hyung, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7655386
    Abstract: An antireflective hardmask composition includes an organic solvent, and at least one polymer represented by Formulae A, B or C: In Formulae A and B, the fluorene group is unsubstituted or substituted, in Formula C, the naphthalene group is unsubstituted or substituted, n is at least 1 and is less than about 750, m is at least 1, and m+n is less than about 750, G is an aromatic ring-containing group having an alkoxy group, and R1 is methylene or includes a non-fluorene-containing aryl linking group.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: February 2, 2010
    Assignee: Cheil Industries, Inc.
    Inventors: Kyung Hee Hyung, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyong Ho Yoon, Min Soo Kim, Jin Kuk Lee
  • Patent number: 7655377
    Abstract: An antireflection film wherein, even where exposure light enters obliquely in a liquid immersion lithography technique, a sufficiently reduced reflectance can be achieved at the interface between a resist layer and a silicon substrate. A two-layer antireflection film is used in exposure by an exposure system having a wavelength of 190 to 195 nm and a numerical aperture of 1.0 or less and formed between the resist layer and the silicon substrate. Where complex refractive indices N1 and N2 and film thicknesses of upper and lower layers of the antireflection film are represented by n1-k1i, n2-k2i and d1, d2, respectively, and a predetermined combination of values of [n10, k10, d10, n20, k20, d20] is selected, n1, k1, d1, n2, k2 and d2 satisfy {(n1-n10)/(n1m-n10)}2+{(k1-k10)/(k1m-k10)}2+{(d1-d10)/(d1m-d10)}2+{(n2-n20)/(n2m-n20)}2+{(k2-k20)/(k2m-k20)}2+{(d2-d20)/(d2m-d20)}2?1.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: February 2, 2010
    Assignee: Sony Corporation
    Inventors: Nobuyuki Matsuzawa, Yoko Watanabe, Boontarika Thunnakart, Ken Ozawa, Yuko Yamaguchi
  • Patent number: 7655389
    Abstract: A composition for forming a photosensitive organic anti-reflective layer includes about 0.5 to about 5 percent by weight of an acid-labile thermal cross-linking agent that is decomposed by an epoxy group and a photo-acid generator, about 10 to about 22 percent by weight of a copolymer resin that includes an acrylate monomer containing anthracene or a methacrylate monomer containing anthracene, about 0.1 to about 1 percent by weight of a photo-acid generator, and a solvent.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: February 2, 2010
    Assignees: Samsung Electronics Co., Ltd., Seoul National University Industry Foundation
    Inventors: Sang-Woong Yoon, Jong-Chan Lee, Ki-Ok Kwon, Sang-Ho Cha, Geun Huh
  • Patent number: 7651830
    Abstract: Provided is an article that comprises a substrate comprising an acid-etchable layer, a water-soluble polymer matrix, and a photoacid generator. Also provided is a method for patterning that can provide patterned layers that can be used to form electroactive devices.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: January 26, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Wayne S Mahoney, Steven D. Theiss
  • Patent number: 7648820
    Abstract: Antireflective hardmask compositions and techniques for the use of antireflective hardmask compositions for processing of semiconductor devices are provided. In one aspect of the invention, an antireflective hardmask layer for lithography is provided. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component. In another aspect of the invention, a method for processing a semiconductor device is provided. The method comprises the steps of: providing a material layer on a substrate; forming an antireflective hardmask layer over the material layer. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Elbert Huang, Arpan P. Mahorowala, David R. Medeiros, Dirk Pfeiffer, Karen Temple
  • Patent number: 7645499
    Abstract: The optical information recording medium of the present invention includes a plurality of information layers provided on a substrate and an optical separating layer provided between information layer adjacent to each other, and information is recorded or reproduced by irradiation of a laser beam. When an information layer that is provided closest to a laser beam incident side of the plurality of information layers is taken as a first information layer and an optical separating layer provided in contact with the first information layer is taken as a first optical separating layer, then the first information layer comprises a recording layer, a transmittance adjusting layer that adjusts a transmittance of the first information layer, and a low refractive index layer provided between the transmittance adjusting layer and the first optical separating layer.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: January 12, 2010
    Assignee: Panasonic Corporation
    Inventors: Haruhiko Habuta, Ken'ichi Nagata, Yoshitaka Sakaue, Hideo Kusada
  • Patent number: 7642043
    Abstract: There is disclosed a rework process for a photoresist film over a substrate having at least an antireflection silicone resin film and the photoresist film over the silicone resin film comprising: at least removing the photoresist film with a solvent while leaving the silicone resin film unremoved; and forming a photoresist film again over the silicone resin film. In this case, the substrate over which the photoresist film is reworked can have an organic film under the silicone resin film. There can be provided a rework process for a photoresist film that can be conducted more easily at lower cost.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: January 5, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Patent number: 7638268
    Abstract: There is disclosed a rework process for a photoresist film over a substrate having at least a first antireflection silicone resin film and the photoresist film over the first silicone resin film comprising: at least removing the photoresist film with a solvent while leaving the first silicone resin film unremoved; forming a second antireflection silicone resin film over the first silicone resin film; and forming a photoresist film again over the second silicone resin film. There can be provided a rework process for a photoresist film that can be conducted more easily at lower cost and provide more certainly an excellent resist pattern.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: December 29, 2009
    Assignee: Shin-Estu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Patent number: 7632626
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer having an ethylenedicarbonyl structure and a solvent; an anti-reflective coating formed from the composition; and a method for forming photoresist pattern by use of the composition. The anti-reflective coating obtained from the composition can be used in lithography process for manufacturing a semiconductor device, has a high preventive effect for reflected light, causes no intermixing with photoresists, and has a higher etching rate than photoresists.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: December 15, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Rikimaru Sakamoto
  • Publication number: 20090305162
    Abstract: An imageable element can be imaged using non-ablative processes. This element has a non-silicone, non-crosslinked layer contiguous to and under an ink-repelling crosslinked silicone rubber layer. These elements can be used for providing lithographic printing plates useful for waterless printing (no fountain solution). Processing after imaging is relatively simple with either water or an aqueous solution consisting essentially of a surfactant or mechanical means to remove the crosslinked silicone rubber layer and a minor portion of the non-silicone, non-crosslinked layer in the imaged regions.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 10, 2009
    Inventors: Ophira Melamed, Jianbing Huang, Efrat Konstantini
  • Patent number: 7629110
    Abstract: A monomer for forming an organic anti-reflective coating layer, a polymer thereof and a composition including the same are disclosed. In a photolithography process, the organic anti-reflective coating layer absorbs an exposed light between a layer to be etched and a photoresist layer, and prevents a photoresist pattern from collapsing due to a standing wave generated under the photoresist layer. The polymer for forming an organic anti-reflective coating layer includes a repeating unit represented by Formula wherein, R1 is a hydrogen atom, a methyl group or an ethyl group, R2 is a C1˜C20 alkylene group, a C3˜C20 cycloalkylene group or a C6˜C20 aromatic hydrocarbon group, POSS is a polyhedral-oligomeric-silsesquioxane, and m is an integer of 2 to 110.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: December 8, 2009
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Sang-Jeoung Kim, Hyo-Jung Roh, Jong-Kyoung Park, Jeong-Sik Kim, Hyun-Jin Kim, Jae-Hyun Kim
  • Patent number: 7622246
    Abstract: Contrast enhancing layers and other materials that can be used as a conformal mask over a photoresist are discussed. In particular, methods and compositions are discussed that can be advantageous when performing lithography using short wavelength actinic radiation (e.g., wavelengths below 200 nm, such as 193 nm or 157 nm). For example, contrast enhancing layers that include an organosilicon containing material can be used to enhance the contrast of a pattern formed on an underlying photoresist layer. Silicon containing polymers, oligomers, and other non-polymeric materials can be used as effective CEL materials.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: November 24, 2009
    Assignee: Massachusetts Institute of Technology
    Inventor: Theodore H. Fedynyshyn
  • Patent number: 7608380
    Abstract: Anti-reflective compositions and methods of using these compositions to form circuits are provided. The compositions comprise a polymer dissolved or dispersed in a solvent system. In a preferred embodiment, the polymers are copolymers of a compound having the formulas and a compound having the formula where: (1) each R is individually selected from the group consisting of —OH, —NH2, hydrogen, aliphatics, and phenyls; and (2) L is selected from the group consisting of —SO2— and —CR?2—. The resulting compositions are spin bowl compatible (i.e., they do not crosslink prior to the bake stages of the microlithographic processes or during storage at room temperature), are wet developable, and have superior optical properties.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: October 27, 2009
    Assignee: Brewer Science Inc.
    Inventors: Robert Christian Cox, Charles J. Neef
  • Patent number: 7604917
    Abstract: A polymer, a top coating layer, a top coating composition and an immersion lithography process using the same are provided. The polymer used as a top coating layer covering (or formed on) a photoresist may include a specific chemical structure. The top coating composition may include a solvent and a polymer of having the specific chemical structure. The immersion lithography process includes forming a photoresist layer on a wafer, forming a top coating layer on the photoresist layer, immersing the wafer in water, performing an exposure process on the photoresist layer and forming a photoresist pattern by removing the top coating layer and the photoresist layer with a developer.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: October 20, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Jun Choi, Han-Ku Cho
  • Patent number: 7601483
    Abstract: Novel, wet developable anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker and a photoacid generator. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light, the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers).
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: October 13, 2009
    Assignee: Brewer Science Inc.
    Inventors: Douglas J. Guerrero, Robert Christian Cox, Marc W. Weimer
  • Patent number: 7595144
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer compound, a crosslinking compound, a crosslinking catalyst, a sulfonate compound and a solvent. The anti-reflective coating obtained from the composition has a high preventive effect for reflected light, causes no intermixing with photoresists, has a higher dry etching rate compared with photoresists, can form a photoresist pattern having no footing at the lower part, and can use in lithography process by use of a light such as ArF excimer laser beam and F2 excimer laser beam, etc.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: September 29, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Kishioka, Tadashi Hatanaka, Shigeo Kimura
  • Patent number: 7585613
    Abstract: There is disclosed an antireflection film composition used for lithography comprising: at least a light absorbing silicone resin with mass average molecular weight of 30,000 or less in which components having molecular weight of less than 600 account for 5% or less of the whole resin; a first acid generator that is decomposed at a temperature of 200 degrees C. or less; and an organic solvent. There can be provided an antireflection film composition that prevents intermixing in the vicinity of the antireflection film/photoresist film interface, that provides a resist pattern over the antireflection film with almost vertical wall profile, and that provides less damage to an underlying layer of the antireflection film.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: September 8, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Motoaki Iwabuchi, Takeshi Asano, Takafumi Ueda
  • Patent number: 7582411
    Abstract: An antireflective film is provided between a resist layer and a silicon oxide layer formed on a surface of a silicon substrate, for exposure of the resist layer in an exposure system having a wavelength of 190 nm to 195 nm and a numerical aperture NA of 0.93 to 1.2. Assuming that the complex refractive indexes of upper and lower layers constituting the antireflective film are N1 (=n1?k1i) and N2 (=n2?k2i), respectively, and the thicknesses of both layers are d1 and d2, when a predetermined combination of values of [n10, k10, d10, n20, k20, d20] is selected, n1, k1, d1, n2, k2, and d2 satisfy the relational expression {(n1?n10)/(n1m?n10)}2+{(k1?k10)/(k1m?k10)}2+{(d1?d10)/(d1m?d10)}2+{(n2?n20)/(n2m?n20)}2+{(k2?k20)/(k2m?k20)}2+{(d2?d20)/(d2m?d20)}2?1.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: September 1, 2009
    Assignee: Sony Corporation
    Inventors: Nobuyuki Matsuzawa, Yoko Watanabe, Boontarika Thunnakart, Ken Ozawa
  • Patent number: 7566527
    Abstract: A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: James J. Bucchignano, Wu-Song Huang, Pushkara R. Varanasi, Roy R. Yu
  • Patent number: 7566525
    Abstract: A method is disclosed for forming a photoresist pattern with enhanced etch resistance on a semiconductor substrate. A photoresist pattern is first formed on the substrate. A silicon-containing polymer layer is deposited over the photoresist pattern on the substrate. A thermal treatment is performed to form a cross-linked anti-etch shielding layer between the photoresist pattern and the silicon-containing layer. Then, the remaining silicon containing layer is removed. A plasma treatment is performed in order to increase an etch resistance of the cross-linked anti-etch shielding layer and the photoresist pattern.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: July 28, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiang Lin, Ching-Yu Chang
  • Publication number: 20090162782
    Abstract: There is provided an underlayer coating that is used as an underlayer of photoresists in lithography process of the manufacture of semiconductor devices and that has a high dry etching rate in comparison to the photoresists depending on the type of etching gas, does not intermix with the photoresists, and is capable of flattening the surface of a semiconductor substrate having holes of a high aspect ratio; and an underlayer coating forming composition for forming the underlayer coating. The underlayer coating forming composition for forming by light irradiation an underlayer coating used as an underlayer of a photoresist in a lithography process of the manufacture of semiconductor devices, comprises a polymerizable compound containing 5 to 45% by mass of silicon atom (A), a photopolymerization initiator (B), and a solvent (C).
    Type: Application
    Filed: December 1, 2006
    Publication date: June 25, 2009
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Satoshi Takei, Yusuke Horiguchi, Keisuke Hashimoto, Makoto Nakajima
  • Patent number: 7541134
    Abstract: The present invention provides a material for an antireflective film characterized by high etching selectivity with respect to a resist, that is, which has a fast etching speed when compared to the resist, and in addition, can be removed without damage to a film which is to be processed. The present invention also provides a pattern formation method for forming an antireflective film layer on a substrate using this antireflective film-forming composition, and a pattern formation method that uses this antireflective film as a hard mask, and a pattern formation method that uses this antireflective film as a hard mask for processing the substrate. The present invention also provides an antireflective film-forming composition comprising an organic solvent, a cross linking agent, and a polymer comprising a light absorbing group obtained by hydrolyzing and condensing more than one type of silicon compound, a crosslinking group and a non-crosslinking group.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: June 2, 2009
    Assignees: International Business Machines Corporation, Shin-Etsu Chemical Co., Ltd
    Inventors: Motoaki Iwabuchi, Yoshitaka Hamada, Tsutomu Ogihara, Takeshi Asano, Takafumi Ueda, Dirk Pfeiffer
  • Publication number: 20090130595
    Abstract: A thermoacid generator for antireflective film formation, characterized by being represented by the following formula (1): (wherein R1 represents C1-20 alkyl, alkenyl, oxoalkyl, or oxoalkenyl (hydrogen atoms in these groups may have been replaced with fluorine atoms); R2 represents linear, branched, or cyclic C1-20 alkyl, alkenyl, oxoalkyl, or oxoalkenyl, C6-20 aryl, or C7-12 aralkyl or aryloxoalkyl; R3 represents hydrogen or alkyl; and Y? represents a non-nucleophilic counter ion); a composition for forming an antireflective film; and an antireflective film made from the composition. With the thermoacid generator and composition, satisfactory etching resistance and the satisfactory ability to prevent the reflection of short-wavelength light (ability to absorb short-wavelength light) are attained. Furthermore, the antireflective film can inhibit an overlying photoresist film from generating a scum.
    Type: Application
    Filed: May 24, 2006
    Publication date: May 21, 2009
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daisuke Kawana, Yasushi Fujii, Hisanobu Harada, Naoki Yamashita
  • Patent number: 7531297
    Abstract: Disclosed herein is an organic anti-reflective coating polymer suitable for use in ultrafine pattern formation during fabrication of a semiconductor device. The organic anti-reflective coating polymer has a weight-average molecular weight of about 2,000 to about 100,000 and is represented by Formula 1 below: wherein R1 is a C1-C5 linear or branched alkyl group, R2 and R3 are each independently hydrogen or methyl, X is halogen, n is a number from 1 to 5, and a, b and c, representing the mole fraction of each monomer, are each independently from about 0.1 to about 0.9. Also disclosed are an organic anti-reflective coating composition comprising the coating polymer and a method for forming a photoresist pattern with the coating composition.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: May 12, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7524613
    Abstract: Phosphono-substituted siloxanes suitable as interlayer material in lithographic substrates and for post-treating developed lithographic printing plates, obtainable by reacting (a) a first organosilicon compound of the general formula (I) and (b) a second organosilicon compound of the general formula (II).
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: April 28, 2009
    Assignee: Kodak Graphic Communications, GmbH
    Inventors: Harald Baumann, Bernd Strehmel, Ulrich Fiebag, Friederike Von Gyldenfeldt, Tanja Ebhardt, Ulrike Dallmann, Dietmar Frank
  • Publication number: 20090098481
    Abstract: To provide a conductive film forming photosensitive material from which a conductive film having high electromagnetic wave shielding properties and high transparency simultaneously can be manufactured and which is reduced with respect to pressure properties. A conductive film forming photosensitive material including a support having thereon an emulsion layer containing a silver salt emulsion and capable of manufacturing a conductive film by exposing the emulsion layer, performing a development treatment and further performing physical development and/or plating treatment, wherein the emulsion layer is disposed substantially in an uppermost layer; and the emulsion layer contains an antioxidant.
    Type: Application
    Filed: September 25, 2008
    Publication date: April 16, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Shinichi NAKAHIRA
  • Patent number: 7514199
    Abstract: Provided herein are hardmask compositions for resist underlayer films, wherein in some embodiments, the hardmask compositions include (a) a first polymer prepared by the reaction of a compound of Formula 1 ?wherein n is a number of 3 to 20, with a compound of Formula 2 (R)m—Si—(OCH3)4-m??(2) ?wherein R is a monovalent organic group and m is 0, 1 or 2; (b) a second polymer that includes at least one of the structures represented by Formulae 3-6; (c) an acid or base catalyst; and (d) an organic solvent. Further provided herein are methods for producing a semiconductor integrated circuit device using a hardmask composition according to an embodiment of the present invention. In addition, provided herein are semiconductor integrated circuit devices produced by a method embodiment of the invention.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: April 7, 2009
    Assignee: Cheil Industries, Inc.
    Inventors: Dong Seon Uh, Chang Il Oh, Do Hyeon Kim, Hui Chan Yun, Jin Kuk Lee, Irina Nam, Jong Seob Kim
  • Patent number: 7510820
    Abstract: In the lithographic multilayer resist process, a material comprising a copolymer of a hydroxy-containing vinylnaphthalene with hydroxy-free olefins is useful in forming a resist undercoat. The undercoat-forming material has a high transparency and optimum values of n and k so that it functions as an antireflective coating during short-wavelength exposure, and has etching resistance during substrate processing by etching.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: March 31, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Toshihiko Fujii
  • Patent number: 7501229
    Abstract: There is provided an anti-reflective coating forming composition comprising a solid content and a solvent, wherein a proportion of sulfur atom in the solid content is 5 to 25 mass %. The anti-reflective coating obtained from the composition has a high preventive effect for reflected light, causes no intermixing with photoresists, and can use in lithography process by use of a light having a short wavelength such as F2 excimer laser beam (wavelength 157 nm) or ArF excimer laser beam (wavelength 193 nm), etc.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: March 10, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yoshiomi Hiroi, Takahiro Kishioka, Keisuke Nakayama, Rikimaru Sakamoto
  • Publication number: 20090061355
    Abstract: A lithographic structure comprising: an organic antireflective material disposed on a substrate; and a silicon antireflective material disposed on the organic antireflective material. The silicon antireflective material comprises a crosslinked polymer with a SiOx backbone, a chromophore, and a transparent organic group that is substantially transparent to 193 nm or 157 nm radiation. In combination, the organic antireflective material and the silicon antireflective material provide an antireflective material suitable for deep ultraviolet lithography. The invention is also directed to a process of making the lithographic structure.
    Type: Application
    Filed: November 18, 2008
    Publication date: March 5, 2009
    Applicant: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Katherina E. Babich, Sean D. Burns, Allen H. Gabor, Scott D. Halle, Arpan P. Mahorowala, Dirk Pfeiffer
  • Patent number: 7482111
    Abstract: It is an object of the present invention to provide a process capable of precisely producing a plated shaped article of a large thickness such as a bump or a wiring, a negative radiation-sensitive resin composition which is preferably used for the process and has excellent sensitivity and resolution, and a transfer film using the composition. The above object is achieved by a negative radiation-sensitive resin composition comprising (A) a polymer containing structural units represented by the following formula (1) and/or the following formula (2), (B) a compound having at least one ethylenically unsaturated double bond and (C) a radiation-sensitive radical polymerization initiator, and by forming a negative radiation-sensitive resin film using the composition.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: January 27, 2009
    Assignee: JSR Corporation
    Inventors: Kouji Nishikawa, Tooru Kimura, Shin-ichiro Iwanaga
  • Patent number: 7470493
    Abstract: Provided are a silane-phenol compound, a crosslinked siloxane outmost protective layer thereof, and an electrophotographic imaging member such as photoreceptor. The silane-phenol compound comprises (i) a phenol group and (ii) a silane group selected from the group consisting of alkoxysilyl, arylalkoxysilyl, aryloxysilyl, alkylaryloxysilyl, and combination thereof. The crosslinked siloxane outmost protective layer comprises the product of hydrolysis and condensation of a silanized hole transport compound and the silane-phenol compound. The crosslinked protective outmost layer may be used to manufacture an electrophotographic imaging member such as photoreceptor with improved properties such as image quality and cleanability, etc.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: December 30, 2008
    Assignee: Xerox Corporation
    Inventors: Yu Qi, Nan-Xing Hu, Yvan Gagnon, Cheng-Kuo Hsiao, Ah-Mee Hor
  • Publication number: 20080318158
    Abstract: There is provided an underlayer coating for lithography that is used in lithography process of the manufacture of semiconductor devices, that can be used as a hardmask, and that causes no intermixing with photoresists; and a composition for forming the underlayer coating. The composition comprises a polysilane compound, a crosslinkable compound, a crosslinking catalyst and a solvent. The polysilane compound is preferably a polysilane compound having a bond between silicons at the main chain.
    Type: Application
    Filed: May 12, 2006
    Publication date: December 25, 2008
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Satoshi Takei, Keisuke Hashimoto, Makoto Nakajima
  • Publication number: 20080286686
    Abstract: The present invention discloses a composition suitable for use as a top antireflective coating and barrier layer for 193 nm lithography. The inventive composition is soluble in aqueous base solutions and has low refractive index at 193 nm. The inventive composition comprises an aqueous base-soluble polymer having a backbone and a fluorinated half ester moiety. The fluorinated half ester moiety is pendant from the backbone. The present invention also discloses a method of forming a patterned layer on a substrate by using the inventive composition in lithography.
    Type: Application
    Filed: July 21, 2008
    Publication date: November 20, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wu-Song S. Huang, Wenjie Li, Pushkara R. Varanasi
  • Publication number: 20080280228
    Abstract: A photosensitive planographic printing plate comprising a substrate and a photosensitive layer including a photopolymerizable compound, wherein the photosensitive layer and the substrate are provided between them with an undercoat layer including a (co)polymer having structural units having ethylenically unsaturated groups bonded with silicon atoms and phosphonic acid groups.
    Type: Application
    Filed: March 15, 2006
    Publication date: November 13, 2008
    Inventors: Koji Hayashi, Eiji Hayakawa
  • Patent number: 7445882
    Abstract: Provided is an image recording material capable of being directly recorded by various kinds of lasers, excellent in alkali-developability by alkaline developer and capable of forming an image which is good in curability by exposure. The image recording material is characterized by including on a support: an image recording layer containing a binder polymer (A); a compound (B) having a polymerizable unsaturated group, and a polymerization initiator (C); and a layer containing an organic ionic polymer (a) formed of a non-metallic element and an inorganic layered compound (b) that are layered in this order. It is preferable that the image recording layer further contains a dye (D) having an absorption maximum in a region of 300 to 1,200 nm, and it is preferable that the binder polymer (A) is a polymer having an alkali-soluble group.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: November 4, 2008
    Assignee: FUJIFILM Corportation
    Inventors: Koji Wariishi, Kazuto Shimada
  • Patent number: 7442491
    Abstract: There is provided an aluminum alloy blank for a lithographic printing plate including iron in a range of 0.20 to 0.80 wt %; and the balance being aluminum, a crystal grain refining element, and unavoidable impurity elements. The unavoidable impurity elements may include silicon and copper, wherein a content of silicon is in a range of 0.02 to 0.30 wt % and a content of copper is equal to or below 0.05 wt %. A solid solution amount of silicon is in a range of 150 ppm to 1500 ppm.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: October 28, 2008
    Assignee: FUJIFILM Corporation
    Inventors: Hirokazu Sawada, Akio Uesugi
  • Patent number: 7439302
    Abstract: A new underlayer composition that exhibits high etch resistance and improved optical properties is disclosed. The underlayer composition comprises a vinyl or acrylate polymer, such as a methacrylate polymer, the polymer comprising at least one substituted or unsubstituted naphthalene or naphthol moiety, including mixtures thereof. Examples of the polymer of this invention include: where each R1 is independently selected from an organic moiety or a halogen; each A is independently a single bond or an organic moiety; R2 is hydrogen or a methyl group; and each X, Y and Z is an integer of 0 to 7, and Y+Z is 7 or less. The organic moiety mentioned above may be a substituted or unsubstituted hydrocarbon selected from the group consisting of a linear or branched alkyl, halogenated linear or branched alkyl, aryl, halogenated aryl, cyclic alkyl, and halogenated cyclic alkyl, and any combination thereof.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Sean D. Burns, Mahmoud Khojasteh
  • Patent number: 7419772
    Abstract: Mesoporous articles and methods for making mesoporous articles are disclosed.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: September 2, 2008
    Assignee: University of Massachusetts
    Inventors: James J. Watkins, Rajaram Pai
  • Patent number: 7399581
    Abstract: A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas TmR3 where m is equal to 8, 10 or 12 and QnMnR1,R2,R3 where n is equal to 8, 10 or 12 are provided. The functional groups include aqueous base soluble moieties. Mixtures of the functionalized polyhedral oligomeric silsesquioxanes derivatives are highly suitable as a topcoat for photoresist in photolithography and immersion photolithography applications.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: July 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Ratnam Sooriyakumaran, Linda Karin Sundberg
  • Publication number: 20080160431
    Abstract: A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.
    Type: Application
    Filed: November 21, 2007
    Publication date: July 3, 2008
    Inventors: Jeffrey Scott, Michael Zani, Mark Bennahmias, Mark Mayse
  • Patent number: 7390608
    Abstract: In a first aspect, silicon polymers are provided that have controlled ratio of silanol (Si—OH) moieties:Si atoms and/or a controlled amount of alkaline aqueous-solubilizing groups. Si-polymers of the invention are particularly useful as a photoresist resin component. In a further aspect, halogenated sulfonamide and thiol compounds and Si-containing polymers comprising such reacted monomers are provided.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: June 24, 2008
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: George G. Barclay, Subbareddy Kanagasabapathy
  • Patent number: RE41128
    Abstract: New polymers and new anti-reflective compositions containing such polymers are provided. The compositions comprise a polymer (e.g., epoxy cresol novolac resins) bonded with a chromophore (4-hydroxybenzoic acid, trimellitic anhydride). The inventive compositions can be applied to substrates (e.g., silicon wafers) to form anti-reflective coating layers having high etch rates which minimize or prevent reflection during subsequent photoresist exposure and developing.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: February 16, 2010
    Assignee: Brewer Science Inc.
    Inventor: Shreeram V. Deshpande