Multiple Etching Of Substrate Patents (Class 430/316)
  • Patent number: 7763416
    Abstract: A fabrication method of active device array substrate is disclosed. First, a substrate and a multi-tone mask are provided. Then, a gate electrode, a gate insulation layer, a channel material layer, a metal material layer and a photo resist layer are formed on the substrate sequentially. Next, the photoresist layer is patterned by the multi-tone mask to form a patterned photoresist layer having three kinds of thicknesses. The metal material layer and the channel material layer not covered by the patterned photoresist layer are removed such that the channel layer is formed. Then, the patterned photoresist layer is removed by a fist removing process, a second removing process, and a third removing process sequentially to form a source electrode, a drain electrode and a passivation layer. Finally, a pixel electrode is formed on the substrate.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: July 27, 2010
    Assignee: Au Optronics Corp.
    Inventor: Chia-Ming Chang
  • Patent number: 7763415
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming at least one etch target film on a substrate, forming a first reflowable etch mask on the at least one etch target film, patterning the etch target film using the first reflowable etch mask. The method further includes reflowing the first reflowable etch mask to form a second etch mask and patterning the etch target film using the second etch mask.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Min Park, Jang-Soo Kim, Hi-Kuk Lee
  • Patent number: 7749680
    Abstract: A photoresist composition includes a base resin, a copolymer of acrylic acid or methacrylic acid and 3,3-dimethoxypropene, a photoacid generator, an organic base, and an organic solvent, and is used for forming a fine (micro) pattern in a semiconductor device by double patterning. The invention method can markedly reduce the number of steps in etching and hard mask deposition processes, so that work hours and manufacturing costs may be reduced, contributing to an increase in yield of semiconductor devices.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: July 6, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Sung Koo Lee
  • Publication number: 20100167021
    Abstract: A method of forming a semiconductor structure is provided. First, a target layer and a mask layer are sequentially formed on a substrate. Thereafter, a first pattern transfer layer having a plurality of openings is formed on the mask layer. Afterwards, a second pattern transfer layer is formed in the openings of the first pattern transfer layer. The mask layer is then patterned, using the first pattern transfer layer and the second pattern transfer layer as a mask, so as to form a patterned mask layer. Further, the target layer is patterned using the patterned mask layer.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 1, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Hong-Ji Lee, Shih-Ping Hong, Fang-Hao Hsu
  • Patent number: 7745100
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: June 29, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Patent number: 7737227
    Abstract: A composition for the organic hard mask includes a polyamic acid compound, and a method for forming a pattern is used in a manufacturing process of semiconductor devices by coating the composition for organic hard mask film on an underlying layer, and depositing a second hard mask film with a silicon nitride SiON film thereon to form a double hard mask film having an excellent etching selectivity, thereby obtaining a uniform pattern.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: June 15, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7723015
    Abstract: In one embodiment, the invention provides a method for manufacturing an array of interferometric modulators. Each interferometric modulator comprises first and second optical layers which when the interferometric modulator is in an undriven state are spaced by a gap of one size, and when the interferometric modulator is in a driven state are spaced by a gap of another size, the size of the gap determining an optical response of the interferometric modulator.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: May 25, 2010
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventor: Mark W. Miles
  • Patent number: 7718345
    Abstract: A composite photoresist structure includes a first organic layer disposed over a substrate to be etched, a sacrificial layer disposed on the first organic layer, and a second organic layer disposed on the sacrificial layer. The thickness of the first organic layer and the thickness of the second organic layer are both larger than the thickness of the sacrificial layer.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: May 18, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7718989
    Abstract: A memory cell device has a bottom electrode and a top electrode, a plug of memory material in contact with the bottom electrode, and a cup-shaped conductive member having a rim that contacts the top electrode and an opening in the bottom that contacts the memory material. Accordingly, the conductive path in the memory cells passes from the top electrode through the conductive cup-shaped member, and through the plug of phase change material to the bottom electrode.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: May 18, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Erh-Kun Lai, ChiaHua Ho, Kuang Yeu Hsieh
  • Patent number: 7718539
    Abstract: Methods for forming a photomask using a carbon hard mask are provided. In one embodiment, a method of forming a photomask includes etching a chromium layer through a patterned carbon hard mask layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Ajay Kumar
  • Publication number: 20100112487
    Abstract: Embodiments of the invention operate to narrow the track width of a read head used in a disk drive. In one embodiment, a magnetic read head has a track width of about 40 nm or less. The read head is fabricated by a method that includes fabricating a film stack from a substrate, a sensor material, a stop material, a first release material, a mask material, and a photo resist material. The mask material may include a masking substrate material and a second release material. The film stack is processed by forming a read head image in the photo resist material, removing portions of the film stack that lie outside the read head image of the photo resist material, stripping the film stack to remove the photo resist, mask and first release materials, and milling the sensor material according to the read head image.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 6, 2010
    Inventors: Quang Le, Jui-Lung Li
  • Publication number: 20100099046
    Abstract: A method for manufacturing a semiconductor device comprises forming a protective film over a photoresist pattern to improve the residual ratio of the photoresist pattern. The method comprises forming a photoresist pattern over an underlying layer and forming a protective pattern on an upper portion and sidewalls of the photoresist pattern.
    Type: Application
    Filed: June 22, 2009
    Publication date: April 22, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Hyeong Soo Kim, Byoung Hoon Lee, Sa Ro Han Park
  • Patent number: 7695897
    Abstract: The present invention relates to improved methods and structures for forming interconnect patterns in low-k or ultra low-k (i.e., having a dielectric constant ranging from about 1.5 to about 3.5) interlevel dielectric (ILD) materials. Specifically, reduced lithographic critical dimensions (CDs) (i.e., in comparison with target CDs) are initially used for forming a patterned resist layer with an increased thickness, which in turn allows use of a simple hard mask stack comprising a lower nitride mask layer and an upper oxide mask layer for subsequent pattern transfer. The hard mask stack is next patterned by a first reactive ion etching (RIE) process using an oxygen-containing chemistry to form hard mask openings with restored CDs that are substantially the same as the target CDs. The ILD materials are then patterned by a second RIE process using a nitrogen-containing chemistry to form the interconnect pattern with the target CDs.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: James J. Bucchignano, Gerald W. Gibson, Mary B. Rothwell, Roy R. Yu
  • Publication number: 20100081092
    Abstract: A method includes forming an interlayer dielectric layer including a contact plug over a semiconductor substrate, forming a metal layer, a hard mask layer, and an anti-reflection layer over the interlayer dielectric layer, forming a photoresist pattern over the anti-reflection layer, etching the anti-reflection layer in a primary etching process, using the photoresist pattern as an etching mask, to form an anti-reflection pattern, forming a first polymer layer over a surface of the anti-reflection pattern and the photoresist pattern by using polymer generated in the primary etching process, etching the hard mask layer in a secondary etching process, by using the anti-reflection pattern, the photoresist pattern, and the first polymer layer as an etching mask, to form a hard mask, and etching the metal layer in a tertiary etching process, by using the photoresist pattern, the anti-reflection pattern, the first polymer layer, and the hard mask as an etching mask, to form a metal interconnection.
    Type: Application
    Filed: September 24, 2009
    Publication date: April 1, 2010
    Inventor: Ki-Jun Yun
  • Patent number: 7687228
    Abstract: An antireflection film composition, wherein an etching speed is fast, thus, when used as a resist lower layer, a film loss of a resist pattern and deformation of the pattern during etching can be minimized, and because of a high crosslinking density, a dense film can be formed after thermal crosslinking, thus, mixing with an upper layer resist can be prevented and the resist pattern after development is good is provided. The antireflection film composition comprising; at least a polymer having a repeating unit represented by the following general formula (I).
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: March 30, 2010
    Assignee: Shin Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazumi Noda, Seiichiro Tachibana, Takeshi Kinsho, Tsutomu Ogihara
  • Publication number: 20100055617
    Abstract: Disclosed is a method of forming a pattern in a semiconductor device. A first mask pattern to form dense lines and a second mask pattern to form spaces (parts where ends of lines are opposite to each other) are used when double patterning is applied to a photolithography process to form a line and space pattern on a semiconductor substrate. Therefore, when the line and space pattern is formed, a fine pattern may be formed without generating a bridge at parts where ends of lines are opposite to each other.
    Type: Application
    Filed: August 20, 2009
    Publication date: March 4, 2010
    Inventor: Jae-Young Choi
  • Patent number: 7666578
    Abstract: Pitch multiplied and non-pitch multiplied features of an integrated circuit, e.g., features in the array, interface and periphery areas of the integrated circuit, are formed by processing a substrate through a mask. The mask is formed by patterning a photoresist layer which simultaneously defines mask elements corresponding to features in the array, interface and periphery areas of the integrated circuit. The pattern is transferred to an amorphous carbon layer. Sidewall spacers are formed on the sidewalls of the patterned amorphous carbon layer. A layer of protective material is deposited and then patterned to expose mask elements in the array region and in selected parts of the interface or periphery areas. Amorphous carbon in the array region or other exposed parts is removed, thereby leaving a pattern including free-standing, pitch multiplied spacers in the array region.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: February 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Mark Fischer, Stephen Russell, H. Montgomery Manning
  • Patent number: 7662522
    Abstract: A method for manufacturing a semiconductor device includes calculating a correction amount for correcting a dimension error generated in a pattern, by using an area and a total length of sides of a perimeter of the pattern included in each grid region of a plurality of mesh-like grid regions made by virtually dividing a pattern creation region of an exposure mask, exposing the pattern whose dimension has been corrected by the correction amount onto a substrate on which a resist film is coated, developing the resist film after the exposing, and processing the substrate by using a resist pattern after the developing.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: February 16, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 7655387
    Abstract: Alignment tolerances between narrow mask lines, for forming interconnects in the array region of an integrated circuit, and wider mask lines, for forming interconnects in the periphery of the integrated circuit, are increased. The narrow mask lines are formed by pitch multiplication and the wider mask lines are formed by photolithography. The wider mask lines and are aligned so that one side of those lines is flush with or inset from a corresponding side of the narrow lines. Being wider, the opposite sides of the wider mask lines protrude beyond the corresponding opposite sides of the narrow mask lines. The wider mask lines are formed in negative photoresist having a height less than the height of the narrow mask lines. Advantageously, the narrow mask lines can prevent expansion of the mask lines in one direction, thus increasing alignment tolerances in that direction.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: February 2, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Randal W. Chance, William T. Rericha
  • Patent number: 7638263
    Abstract: An overlay accuracy measurement vernier and a method of forming the same. According to one embodiment, the method of forming the overlay accuracy measurement vernier includes the steps of forming a first vernier pattern in a predetermined region on a semiconductor substrate; etching the semiconductor substrate using the first vernier pattern as a mask, forming a trench of a first depth; forming a second vernier pattern having a width wider than that of the first vernier pattern, the second vernier pattern including the first vernier pattern; performing an etch process using the second vernier pattern as a mask, thus forming a trench of a second depth, which has a step of a predetermined width; stripping the first and second vernier patterns and then forming an insulating film to bury the trench; and, etching the insulating film so that the semiconductor substrate of the vernier region is exposed.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: December 29, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Guee Hwang Sim
  • Patent number: 7635547
    Abstract: A stencil mask includes a membrane forming thin layer having membrane areas and a border area that limits the membrane areas. The membrane areas have a plurality of pattern areas which include an aperture through which particle beams can permeate and non-pattern areas interposed between the pattern areas. A main strut supports the membrane areas and is formed on the border area of the membrane forming thin layer. An auxiliary strut is formed in the non-pattern areas inside the membrane pattern area such that the auxiliary strut divides the membrane areas into plural divided membrane areas. The auxiliary strut supports the divided membrane areas.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: December 22, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Sung Kim, Ho-Chul Kim
  • Patent number: 7615332
    Abstract: A photosensitive compound has two or more structural units, in a molecule, represented by the following general formula (1): wherein R1 to R5 are selected from the group consisting of hydrogen atom, halogen atom, alkyl group, alkoxy group, acetoxy group, phenyl group, naphthyl group, and alkyl group in which a part or all of hydrogen atoms are substituted with fluorine atom; and X is a substituted or unsubstituted phenylene group or a substituted or unsubstituted naphthylene group.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: November 10, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Takako Yamaguchi
  • Patent number: 7605089
    Abstract: A method of manufacturing an electronic device is provided wherein an interconnect is made using 193 nm lithography. No deformation of the desired linewidth takes place in that during a plasma gas is used which dissociates in low-weight ions. The electronic device is particularly an integrated circuit.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: October 20, 2009
    Assignee: NXP B.V.
    Inventors: Yukiko Furukawa, Robertus Adrianus Maria Wolters
  • Patent number: 7604926
    Abstract: A method of manufacturing a semiconductor device, comprises forming a first mask pattern on an under-layer region, forming a plurality of dummy-line patterns on the under-layer region, the dummy-line patterns being arranged at a first pitch, forming second mask patterns having mask parts provided on long sides of the dummy-line patterns, removing the dummy-line patterns, and etching the under-layer region by using the first mask pattern and the mask parts as a mask.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: October 20, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuya Kamigaki, Eiji Ito, Koji Hashimoto, Hideyuki Kinoshita
  • Patent number: 7588870
    Abstract: The present invention relates to preparation of patterned workpieces in the production of semiconductor and other devices. Methods and devices are described utilizing resist and transfer layers over a workpiece substrate. The methods and devices produce small feature dimensions in masks and phase shift masks. The methods described may apply to both masks and direct writing on other workpieces having similarly small features, such as semiconductor, cryogenic, magnetic and optical microdevices.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: September 15, 2009
    Assignee: Micronic Laser Systems AB
    Inventor: Torbjörn Sandström
  • Patent number: 7585613
    Abstract: There is disclosed an antireflection film composition used for lithography comprising: at least a light absorbing silicone resin with mass average molecular weight of 30,000 or less in which components having molecular weight of less than 600 account for 5% or less of the whole resin; a first acid generator that is decomposed at a temperature of 200 degrees C. or less; and an organic solvent. There can be provided an antireflection film composition that prevents intermixing in the vicinity of the antireflection film/photoresist film interface, that provides a resist pattern over the antireflection film with almost vertical wall profile, and that provides less damage to an underlying layer of the antireflection film.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: September 8, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Motoaki Iwabuchi, Takeshi Asano, Takafumi Ueda
  • Patent number: 7582413
    Abstract: A double exposure method for enhancing the image resolution in a lithographic system, is presented herein. The invention comprises decomposing a desired pattern to be printed on the substrate into at least two constituent sub-patterns that are capable of being optically resolved by the lithographic system, coating the substrate with a first positive tone resist layer and a thin second positive tone resist layer on top of a target layer which is to be patterned with the desired dense line pattern. The second resist material is absorbing exposure radiation during a first patterning exposure and after development during a second patterning exposure to prevent exposure above energy-to-clear of at least a portion of the first resist material underneath exposed portions of the second resist material layer.
    Type: Grant
    Filed: September 26, 2005
    Date of Patent: September 1, 2009
    Assignee: ASML Netherlands B.V.
    Inventor: Alek Chi-Heng Chen
  • Patent number: 7560201
    Abstract: A multiple mask and a multiple masking layer technique can be used to pattern a single IC layer. A resolution enhancement technique can be used to define one or more fine-line patterns in a first masking layer, wherein each fine-line feature is sub-wavelength. Moreover, the pitch of each fine-line pattern is less than or equal to that wavelength. The portions of the fine-line features not needed to implement the circuit design are then removed or designated for removal using a mask. After patterning of the first masking layer, another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. At least one coarse feature is defined to connect two fine-line features, wherein the coarse feature(s) can be derived from a desired layout using a shrink/grow operation. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: July 14, 2009
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Patent number: 7560222
    Abstract: A resist polymer that has nano-scale patterns located therein that are in the form of sub lithographic hollow pores (or openings) that are oriented in a direction that is substantially perpendicular with that of its major surfaces (top and bottom) is provided. Such a resist polymer having the nano-scale patterns is used as an etch mask transferring nano-scale patterns to an underlying substrate such as, for example, dielectric material. After the transferring of the nano-scale patterns into the substrate, nano-scale voids (or openings) having a width of less than 50 nm are created in the substrate. The presence of the nano-scale voids in a dielectric material lowers the dielectric constant, k, of the original dielectric material.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Wai-Kin Li, Yi-Hsiung S. Lin
  • Publication number: 20090170035
    Abstract: A method for fabricating a semiconductor device includes forming a first mask pattern over an etch target layer, forming a second mask pattern over the etch target layer, forming spacers at sidewalls of the first mask pattern and the second mask pattern, and etching the etch target layer with an etching mask where the second mask pattern is removed. The method improves a profile of a pad pattern and critical dimension uniformity.
    Type: Application
    Filed: June 29, 2008
    Publication date: July 2, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Ki Lyoung LEE, Cheol Kyu Bok
  • Publication number: 20090162782
    Abstract: There is provided an underlayer coating that is used as an underlayer of photoresists in lithography process of the manufacture of semiconductor devices and that has a high dry etching rate in comparison to the photoresists depending on the type of etching gas, does not intermix with the photoresists, and is capable of flattening the surface of a semiconductor substrate having holes of a high aspect ratio; and an underlayer coating forming composition for forming the underlayer coating. The underlayer coating forming composition for forming by light irradiation an underlayer coating used as an underlayer of a photoresist in a lithography process of the manufacture of semiconductor devices, comprises a polymerizable compound containing 5 to 45% by mass of silicon atom (A), a photopolymerization initiator (B), and a solvent (C).
    Type: Application
    Filed: December 1, 2006
    Publication date: June 25, 2009
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Satoshi Takei, Yusuke Horiguchi, Keisuke Hashimoto, Makoto Nakajima
  • Publication number: 20090136869
    Abstract: A metal oxide-containing film is formed from a heat curable composition comprising (A) a metal oxide-containing compound obtained through hydrolytic condensation between a hydrolyzable silicon compound and a hydrolyzable metal compound, (B) a hydroxide or organic acid salt of Li, Na, K, Rb or Cs, or a sulfonium, iodonium or ammonium compound, (C) an organic acid, and (D) an organic solvent. The metal oxide-containing film ensures effective pattern formation.
    Type: Application
    Filed: November 20, 2008
    Publication date: May 28, 2009
    Inventors: Tsutomu OGIHARA, Takafumi UEDA, Toshiharu YANO, Mutsuo NAKASHIMA
  • Patent number: 7537866
    Abstract: A multiple mask and a multiple masking layer technique can be used to pattern a single IC layer. A resolution enhancement technique can be used to define one or more fine-line patterns in a first masking layer, wherein each fine-line feature is sub-wavelength. Moreover, the pitch of each fine-line pattern is less than or equal to that wavelength. The portions of the fine-line features not needed to implement the circuit design are then removed or designated for removal using a mask. After patterning of the first masking layer, another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. At least one coarse feature is defined to connect two fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 26, 2009
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Publication number: 20090123875
    Abstract: The present invention provides a method for processing an etching-target film, which can achieve both of a highly precise dry etching process and a reduction of LER. A method for processing an etching-target film, comprises: forming, in sequence from the bottom, an organic mask layer 40, a silicon-containing layer 50 and a resist layer 70, over an etching-target film; forming a predetermined pattern in the resist layer 70 by a photolithography process; etching the silicon-containing layer 50 through a mask of the resist layer 70 by employing a first etching gas; etching the organic mask layer 40 through a mask of the etched silicon-containing layer 50 by employing a second etching gas; and etching the etching-target film through a mask of the etched organic mask layer 40 by employing a third etching gas, wherein the first etching gas contains trifluoroiodomethane (CF3I).
    Type: Application
    Filed: June 6, 2008
    Publication date: May 14, 2009
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Eiichi SODA
  • Patent number: 7531293
    Abstract: The invention is directed to a radiation sensitive compound comprising a surface binding group proximate to one end of the compound for attachment to a substrate, and a metal binding group proximate to an opposite end of the compound. The metal binding group is not radiation sensitive. The radiation sensitive compound also includes a body portion disposed between the surface binding group and the metal binding group, and a radiation sensitive group positioned in the body portion or adjacent to the metal binding group. The surface binding group is capable of attaching to a substrate selected from a metal, a metal oxide, or a semiconductor material.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: May 12, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Cherie R. Kagan, Laura L. Kosbar, Sally A. Swanson, Charan Srinivasan
  • Publication number: 20090004573
    Abstract: The present application is directed a method for determining the position of photomask patterns in a mask making process. The method comprises providing one or more mask rules defining the minimum spacing between photomask patterns. The method further comprises determining the position of a first photomask pattern relative to an adjacent second photomask pattern, the first photomask pattern having a critical edge for defining a critical dimension of a first device structure and a non-critical edge for defining a non-critical dimension. The non-critical edge is attached to the critical edge so that the positioning of the non-critical edge will affect the length of the critical edge. The non-critical edge of the first photomask pattern is positioned a distance X from an edge of the second photomask pattern, wherein the distance X is chosen to be substantially the minimum spacing allowed by the mask rules.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventor: Thomas J. ATON
  • Patent number: 7465523
    Abstract: To reduce a stress change generated in the production processes of a transfer mask to improve a position accuracy of a mask pattern. A production method of a transfer mask characterized by further including, in the production processes of the transfer mask, a step of forming on said thin film layer a stress control layer that cancels a stress change of the thin film layer generated in the production processes of the mask, prior to formation of said resist layer, and a step of carrying out etching using said resist pattern as an etching mask.
    Type: Grant
    Filed: November 5, 2003
    Date of Patent: December 16, 2008
    Assignee: Hoya Corporation
    Inventor: Isao Amemiya
  • Publication number: 20080292992
    Abstract: A writing pattern data generating method for, in order to form a first photomask for use in a manufacturing method of a semiconductor device which including forming a first resist pattern on a mask film formed on a first film using the first photomask, forming a first mask pattern by etching the mask film, removing the first resist pattern, forming a second resist film on the mask film, forming a second resist pattern on the mask film, forming a second mask pattern by etching the mask film, removing the second resist pattern, and forming a first film pattern by etching the first film, the generating method comprising correcting a first pattern data in accordance with a difference between the first film pattern and the second mask pattern and a difference between the first resist pattern and the first mask pattern.
    Type: Application
    Filed: June 27, 2008
    Publication date: November 27, 2008
    Inventor: Hideki Kanai
  • Publication number: 20080248431
    Abstract: A pattern forming method includes forming a first anti-reflection coating on a substrate, the substrate having an uneven surface; forming a second anti-reflection coating on the first anti-reflection coating, the first anti-reflection coating having an uneven surface, and the second anti-reflection coating planarizing the uneven surface of the first anti-reflection coating; forming an intermediate layer film on the second anti-reflection coating; forming a resist film on the intermediate-layer film; patterning the resist film to form a resist pattern; forming an intermediate-layer pattern by etching the intermediate-layer film using the resist pattern as a mask; and forming an under-layer pattern by etching the first and second anti-reflection coatings using the intermediate-layer pattern as a mask.
    Type: Application
    Filed: November 21, 2007
    Publication date: October 9, 2008
    Inventors: Yuriko Seino, Seiro Myoshi, Kazutaka Ishigo
  • Patent number: 7419763
    Abstract: A near-field photoresist for formation of a fine pattern with by near-field exposure includes an alkali-soluble novalac resin, a diazyde-type photosensitizer which is photoreactive by near-field exposure, a photoacid generator which generates acid by the near-field exposure, and a solvent.
    Type: Grant
    Filed: February 7, 2007
    Date of Patent: September 2, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takako Yamaguchi, Ryo Kuroda
  • Patent number: 7396475
    Abstract: The present invention provides a method for forming a stepped structure on a substrate that features transferring, into the substrate, an inverse shape of the stepped structure disposed on the substrate.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: July 8, 2008
    Assignee: Molecular Imprints, Inc.
    Inventor: Sidlgata V. Sreenivasan
  • Patent number: 7384728
    Abstract: A method of fabricating a semiconductor device. A first organic layer, a silicon-containing sacrificial layer, and a second organic layer are sequentially formed on a substrate. A photolithography process is performed for forming a predetermined pattern in the second organic layer. Thereafter, the second organic layer is utilized as an etching mask for etching the silicon-containing sacrificial layer till a surface of the first organic layer is exposed, thus transferring the predetermined pattern to the silicon-containing sacrificial layer. The silicon-containing sacrificial layer is utilized as an etching mask for etching the first organic layer till a surface of the substrate is exposed, thereby transferring the predetermined pattern to the first organic layer. Then, the silicon-containing sacrificial layer and the first organic layer are utilized as an etching mask for etching the substrate, thereby transferring the predetermined pattern to the substrate.
    Type: Grant
    Filed: August 20, 2006
    Date of Patent: June 10, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7380320
    Abstract: A piezoelectric device includes a substrate, a buffer layer on the substrate, a lower electrode layer on the buffer layer, a piezoelectric layer on the lower electrode layer, and an upper electrode layer on the piezoelectric layer. The piezoelectric layer has a base portion extending outwardly at its lower portion of its periphery. The piezoelectric device provides enhanced bonding strength between the substrate and the stacked structure including the upper electrode layer, the lower electrode layer, and the piezoelectric layer.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: June 3, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masaya Nakatani
  • Patent number: 7371485
    Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a photolithographic reticle including positioning the reticle on a support member in a processing chamber, wherein the reticle comprises a metal photomask layer formed on a silicon-based substrate, and a patterned resist material deposited on the silicon-based substrate, etching the substrate with an oxygen-free processing gas, and then etching the substrate with an oxygen containing processing gas.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: May 13, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Cynthia B. Brooks, Melisa J. Buie, Brigitte C. Stoehr
  • Patent number: 7371507
    Abstract: Methods for fabricating semiconductor devices are disclosed. A disclosed method comprises: forming a conductive layer, depositing a interlayer dielectric layer, forming an anti-reflective coating layer on the interlayer dielectric layer, forming a photoresist pattern on the anti-reflection layer, dry-etching the anti-reflective coating layer and the interlayer dielectric layer using the photoresist pattern as a mask and performing an Ar and fluoric plasma treatment to remove a residual layer deposited during the etching of the ARC layer and the ILD.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Hak Myung
  • Patent number: 7361453
    Abstract: A method of manufacturing a semiconductor device with precision patterning is disclosed. A structure of a small dimension is created in a material, such as a semiconductor material, using a first and a second pattern, the patterns being identical but displaced over a distance with respect to each other. Two mask layers are used, wherein the first pattern is etched into the upper mask layer with a selective etch, and the second pattern is created on the upper mask layer or on the lower mask layer at locations where the upper mask layer has been removed. A part of the lower mask layer and/or the upper mask layer is etched according to the second pattern, resulting in a mask formed by remaining parts of the lower and upper mask layers, the mask having a structure with a dimension determined by a displacement of the second pattern with respect to the first pattern.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: April 22, 2008
    Assignees: Interuniversitair Microelektronica Centrum vzw (IMEC), Koninklijke Philips Electronics
    Inventors: Greja Johanna Adriana Maria Verheijden, Pascal Henri Leon Bancken, Johannes van Wingerden
  • Patent number: 7351519
    Abstract: A method of improved patterning of indium-tin oxide (ITO) for precision-cutting and aligning a liquid crystal display (LCD) panel includes depositing a transparent ITO layer upon a transparent substrate, depositing a non-transparent plating layer upon the transparent ITO layer and depositing a photoresist layer upon the non-transparent plating layer. The photoresist layer is patterned, exposed and developed to form a plurality of photoresist lines. The photoresist lines are exposed again in an active area only and the plating layer is etched to form a plurality of non-transparent plated lines. The ITO layer is then etched to form a plurality of ITO lines. The photoresist lines are then developed and the non-transparent plated lines are etched away in the active area only. The photoresist that is outside the active area is then removed.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 1, 2008
    Assignee: Advantech Global, Ltd
    Inventor: Timothy A. Cowen
  • Patent number: 7348133
    Abstract: The invention provides a manufacturing method of a solid-state image sensing device where light-receiving sensitivity is improved. The manufacturing method of the solid-state image sensing device of the invention has forming an insulating film on a light-receiving region and a non-light-receiving region, forming a mask pattern for forming a lens on the insulating film on the light-receiving region and a dummy mask pattern for forming a lens on the insulating film on the non-light-receiving region, forming a plurality of convex portions on the insulating film by etching the insulating film by using the mask pattern and the dummy mask pattern as a mask, forming a first lens film on the insulating film, forming a planarizing film having a lower etching rate than the first lens film on the first lens film, etching back the first lens film and the planarizing film, and forming a second lens film on the first lens film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: March 25, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Isamu Tomizawa, Seiji Kai, Kouji Yagi
  • Patent number: 7344825
    Abstract: In a resist pattern forming method in which bake processing is performed at a temperature not lower than a glass transition temperature in order to obtain the desired sidewall angle, resist removable is difficult. Accordingly, in the resist pattern forming method of performing bake processing at a temperature not lower than a glass transition temperature, a process margin for resist removability cannot be ensured, so that there is the problem that it is impossible to compatibly realize both the formation of a resist pattern having the desired sidewall angle and the resist removability of the resist pattern. The invention aims to solve the problem. A resist pattern including a diazonaphthoquinone (DNQ)-novolac resin type of positive resist is formed, and the resist pattern is irradiated with light within the range of photosensitive wavelengths of a DNQ photosensitizer to perform bake processing on the resist pattern at a temperature not lower than the glass transition temperature of the resist pattern.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: March 18, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masaharu Nagai, Ichiro Uehara
  • Patent number: 7323292
    Abstract: A process and related structure are disclosed for using photo-definable layers that may be selectively converted to insulative materials in the manufacture of semiconductor devices, including for example dynamic random access memories (DRAMs), synchronous DRAMs (SDRAMs), static RAMs (SRAMs), FLASH memories, and other memory devices. One possible photo-definable material for use with the present invention is plasma polymerized methylsilane (PPMS), which may be selectively converted into photo-oxidized siloxane (PPMSO) through exposure to deep ultra-violet (DUV) radiation using standard photolithography techniques. According to the present invention, structures may be formed by converting exposed portions of a photo-definable layer to an insulative material and by using the non-exposed portions in a negative pattern scheme, or the exposed portions in a positive pattern scheme, to transfer a pattern into to an underlying layer.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: January 29, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Bradley J. Howard