Post Image Treatment To Produce Elevated Pattern Patents (Class 430/325)
  • Publication number: 20130224659
    Abstract: A sulfonic acid anion-containing polymer having an alkylsulfonium cation not in covalent bond thereto can be readily prepared by reacting a sulfonic acid anion-containing polymer having an ammonium or metal cation with an alkylsulfonium salt under mild conditions. A resist composition comprising the inventive polymer is effective for suppressing acid diffusion since the sulfonium salt is bound to the polymer backbone. When processed by the ArF lithography, the polymer exhibits a lower absorption at the exposure wavelength than the triarylsulfonium salt form PAGs, resulting in improved resolution, mask fidelity, and LWR.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 29, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shin-Etsu Chemical Co., Ltd.
  • Publication number: 20130224661
    Abstract: A pattern-forming method includes coating a radiation-sensitive resin composition on a substrate to provide a resist film. The resist film is exposed. The exposed resist film is developed. A developer solution used in developing the exposed resist film includes no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a first polymer and a radiation-sensitive acid generator. The first polymer includes a first structural unit having an acid-labile group and an alicyclic group. The alicyclic group is capable of avoiding dissociation from a molecular chain by an action of an acid.
    Type: Application
    Filed: April 3, 2013
    Publication date: August 29, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Publication number: 20130224657
    Abstract: The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 29, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130224660
    Abstract: A sulfonic acid anion-containing polymer having a triarylsulfonium cation is prepared by (1) preparing a sulfonic acid anion-containing polymer having an ammonium or metal cation not bound thereto, (2) purifying the polymer by water washing or crystallization, and (3) then reacting the polymer with a triarylsulfonium salt. A resist composition comprising the inventive polymer is effective for controlling acid diffusion since the sulfonium salt is bound to the polymer backbone.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 29, 2013
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventor: Shin-Etsu Chemical Co., Ltd.
  • Publication number: 20130224654
    Abstract: A target variable analysis unit (11) calculates the triad fractions of monomer units in the composition of a known polymer sample from the copolymerization reactivity ratios of the monomer units to obtain a target variable. A waveform processing unit (12) processes NMR measurements, signals, etc. An explanatory variable analysis unit (13) obtains explanatory variables from the amount of chemical shift and signal strength in the NMR measurements of the known sample. A model generation unit (14) determines the regression equation of the regression model of the target variable and the explanatory variables by partial least squares regression, and obtains regression model coefficients. A sample analysis unit (15) uses the regression model to calculate the triad fractions for an unknown copolymer sample from the amount of chemical shift and signal strength in the NMR measurements of the unknown copolymer sample.
    Type: Application
    Filed: October 14, 2011
    Publication date: August 29, 2013
    Applicant: Mitsubishi Rayon Co., Ltd.
    Inventors: Atsushi Yasuda, Tomoya Oshikiri, Hikaru Momose
  • Publication number: 20130216958
    Abstract: Provided are a liquid-repellent film in which the aggregation of a liquid-repellent material is suppressed and which is excellent in liquid-repellent properties and a production method therefor, and a fine structure using the liquid-repellent film and a production method therefor. The liquid-repellent film is a liquid-repellent film formed of a silane compound having a specific perfluoropolyether group and a fluorine-containing acrylic homopolymer.
    Type: Application
    Filed: February 4, 2013
    Publication date: August 22, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Publication number: 20130216948
    Abstract: A radiation-sensitive resin composition for forming a resist film includes a polymer including a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). The first structural unit and the second structural unit are included in an identical polymer molecule or different polymer molecules. R1 represents a hydrogen atom or a methyl group. Q represents a divalent linking group having 1 to 4 carbon atoms. X represents a monovalent lactone group. A part or all of hydrogen atoms included in the monovalent lactone group represented by X are not substituted or substituted. R2 represents a hydrogen atom or a methyl group. Y represents a monovalent lactone group. A part or all of hydrogen atoms included in the monovalent lactone group represented by Y are not substituted or substituted.
    Type: Application
    Filed: March 27, 2013
    Publication date: August 22, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Publication number: 20130216960
    Abstract: Disclosed is a water repellent additive for an immersion resist, which is composed of a fluorine-containing polymer that has a repeating unit represented by general formula (1). By adding the water repellent additive to a resist composition, the resist composition can be controlled to have high water repellency during exposure and to exhibit improved solubility in a developing solution during development. [In the formula, R1 represents a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group; R2 represents a heat-labile protecting group; R3 represents a fluorine atom or a fluorine-containing alkyl group; and W represents a divalent linking group.
    Type: Application
    Filed: March 29, 2013
    Publication date: August 22, 2013
    Applicant: Central Glass Company, Limited
    Inventor: Central Glass Company, Limited
  • Publication number: 20130216959
    Abstract: A charged particle beam apparatus for processing an object using a charged particle beam includes a charged particle lens in which an array of apertures, through each of which a charged particle beam passes, is formed; a vacuum container which contains the charged particle lens; and a radiation source configured to generate an ionizing radiation; wherein the apparatus is configured to cause the radiation source to pass the ionizing radiation through the array of apertures in a state in which a pressure in the vacuum container is changing.
    Type: Application
    Filed: February 20, 2013
    Publication date: August 22, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Publication number: 20130216957
    Abstract: The water-soluble resin composition for forming fine patterns comprises a water-soluble polymer represented by Chemical Formula 1 and a first water-soluble solvent. The composition is coated and heated on a photoresist layer having contact holes to reduce a size of the contact holes.
    Type: Application
    Filed: December 21, 2012
    Publication date: August 22, 2013
    Applicants: Korea Kumbo Petrochemical Co., Ltd.
    Inventors: Korea Kumho Petrochemical Co., Ltd., Sang Wook Park, Jong Jin Jeon
  • Publication number: 20130216961
    Abstract: A composition for forming an upper layer film includes a solvent and a resin component including a first resin having a first repeating unit and a second repeating unit. The first repeating unit is a repeating unit represented by a formula (1-1), a repeating unit represented by a formula (1-2), a repeating unit represented by a formula (1-3), or a combination thereof. The second repeating unit is a repeating unit represented by a formula (2-1), a repeating unit represented by a formula (2-2), or both thereof. The composition is to be used for forming the upper layer film in liquid immersion lithography.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 22, 2013
    Applicant: JSR Corporation
    Inventor: JSR Corporation
  • Patent number: 8512939
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method may include providing a substrate; forming a first material layer over the substrate; forming a patterned second material layer over the substrate; and removing the patterned second material layer with a fluid comprising a steric hindered organic base and organic solvent.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: August 20, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 8512934
    Abstract: Compounds of the formula (I), wherein X is a single bond, CRaRb O, S, NRC, NCORC, CO, SO or SO2; L, L1, L2, L3, L4, L5, L6, L7 and L8 are for example hydrogen, R1 or COT; T denotes T1 or O-T2; T1 and T2 for example are hydrogen, C1-C20alkyl, C3-C12cycloalkyl, C2-C20alkenyl, C5-C12cycloalkenyl, C6-C14aryl, C3-C12heteroaryl, C1-C20alkyl substituted by one or more D, C2-C20alkyl interrupted by one or more E, C2-C20alkyl substituted by one or more D and interrupted by one or more E or Q; R1, R2, R3, R4, Ra, Rb and Rc are T1; D is for example R5, OR5, SR5 or Q1; E is for example O, S, COO or Q2; R5 and R6 for example are hydrogen, C1-C12alkyl or phenyl; Q is for example C6-C12bicycloalkyl, C6-C12bicycloalkenyl or C6-C12tricycloalkyl; Q1 is for example, C6-C14aryl or C3-C12heteroaryl; Q2 is for example C6-C14arylene or C3-C12heteroarylene; Y is an anion; and M is a cation; provided that at least one of L, L1, L2, L3, L4, L5, L6, L7 and L8 is other than hydrogen; and provided that (i) at least one of T1 or T2 is a g
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: August 20, 2013
    Assignee: BASF SE
    Inventors: Pascal Hayoz, Hitoshi Yamato
  • Publication number: 20130209938
    Abstract: A resist composition according to the present invention includes at least a base resin, a photoacid generator and a solvent, wherein the photoacid generator comprises a fluorine-containing sulfonic acid salt of the following general formula (4). In the formula, X independently represents a hydrogen atom or a fluorine atom; n represents an integer of 1 to 6; R1 represents a hydrogen atom, or an alkyl, alkenyl, oxoalkyl, aryl or aralkyl group; any of hydrogen atoms on carbons in R1 may be substituted with a substituent; R2 represents RAO or RBRCN; and A represents a divalent group. This fluorine-containing sulfonic acid salt can serve as a photoacid generator having high solubility in a resist solvent and thus can suitably be used for a resist composition such that the resist composition shows high resolution, wide DOF, small LER and high sensitivity to form a good pattern shape in lithographic processes.
    Type: Application
    Filed: October 14, 2011
    Publication date: August 15, 2013
    Applicant: Central Glass Company, Ltd.
    Inventors: Ryozo Takihana, Satoru Narizuka
  • Publication number: 20130209754
    Abstract: This invention relates generally to silicon based photoresist compositions that can be used in forming low k dielectric constant materials suitable for use in electronic devices, methods of their use and the electronic devices made therefrom.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 15, 2013
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Ruzhi ZHANG, Jihoon KIM, Bharatkumar K. PATEL, Elizabeth WOLFER
  • Publication number: 20130210148
    Abstract: A method of producing curved, folded or reconfigurable structures includes providing a polymer film, exposing the polymer film to at least one of patterned radiation or patterned chemical contact, and conditioning the polymer film subsequent to the exposing. The polymer film includes a polymer that is active to cross-linking of polymer chains in response to the exposing. The exposing is performed such that at least one exposed region of the polymer film develops a gradient in an amount of cross-linking of polymer chains along a cross-sectional direction of the polymer film, and the conditioning of the polymer film removes uncross-linked polymer chains to provide a curved, folded or reconfigurable structure.
    Type: Application
    Filed: October 25, 2011
    Publication date: August 15, 2013
    Applicant: The Johns Hopkins University
    Inventors: David H. Gracias, Mustapha Jamal
  • Publication number: 20130209935
    Abstract: A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 15, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Patent number: 8507192
    Abstract: A novel antireflective coating composition is provided, said antireflective coating composition comprising a) a compound of formula 1, b) a thermal acid generator, (c) at least one polymer, wherein U1 and U2 are independently a C1-C10 alkylene group; V is selected from a C1-C10 alkylene, arylene and aromatic alkylene; W is selected from H, C1-C10 alkyl, aryl, alkylaryl and V—OH; Y is selected from H, W, and U3C(O)OW, wherein U3 is independently a C1-C10 alkylene group, and m is 1 to 10. Also provided are methods using said compositions as antireflective coatings for substrates in lithographic processes.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: August 13, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Jianhui Shan, JoonYeon Cho, Salem K. Mullen
  • Patent number: 8507073
    Abstract: An exterior part (200) includes (a) a structure color generation portion (210) having a minute shape (structure color generation region (211)) formed therein, light in a visible light range being produced from the minute shape by a physical phenomenon such as interference or diffraction with the incidence of light, and (b) a resin portion (220) that is made of resin having a light transmitting property and serves as the outer surface of a product, (c) the structure color generation portion (210) and the resin portion (220) are formed by molding, and (d) the structure color generation portion (210) is made of resin different from the resin of the resin portion (220), and covered with the resin portion (220).
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: August 13, 2013
    Assignee: Panasonic Corporation
    Inventors: Yukio Nishikawa, Masayuki Takahashi
  • Patent number: 8508735
    Abstract: A drive system drives a movable body, based on measurement results of a first measurement system which measures the position of the movable body in an XY plane by irradiating a measurement beam from an arm member on a grating placed on a surface parallel to the XY plane of the movable body and measurement results of a second measurement system which measures a variance of the arm member using a laser interferometer. In this case, the drive system corrects measurement errors caused due to a variance of the arm member included in the measurement results of the first measurement system, using the measurement results of the second measurement system.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: August 13, 2013
    Assignee: Nikon Corporation
    Inventor: Yuichi Shibazaki
  • Publication number: 20130202999
    Abstract: Provided is a negative type pattern forming method that satisfies high sensitivity, high resolution, good roughness and good dry etching resistance at the same time, and further, has a good development time dependency, the method including (i) forming a film by a chemical amplification resist composition containing (A) a fullerene derivative having an acid-decomposable group, (B) a compound generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) exposing the film, and (iii) developing the exposed film by using an organic solvent-containing developer.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 8, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM Corporation
  • Publication number: 20130200500
    Abstract: The present invention provides a resist pattern thickening material, which can utilize ArF excimer laser light; which, when applied over a resist pattern to be thickened, e.g., in form of lines and spaces pattern, can thicken the resist pattern to be thickened regardless of the size of the resist pattern to be thickened; and which is suited for forming a fine space pattern or the like, exceeding exposure limits. The present invention also provides a process for forming a resist pattern and a process for manufacturing a semiconductor device, wherein the resist pattern thickening material of the present invention is suitably utilized.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 8, 2013
    Applicant: FUJITSU LIMITED
    Inventor: FUJITSU LIMITED
  • Publication number: 20130203000
    Abstract: A radiation-sensitive resin composition includes a polymer component, a radiation-sensitive acid generating agent, and a nitrogen-containing compound having a ring structure. The polymer component includes, in an identical polymer or different polymers, a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). R1 represents a hydrogen atom or a methyl group. Z is a group which represents a divalent monocyclic alicyclic hydrocarbon group taken together with R2. R2 represents a carbon atom. R3 represents a methyl group or an ethyl group. R4 represents a hydrogen atom or a methyl group. X is a group which represents a divalent bridged alicyclic hydrocarbon group having no less than 10 carbon atoms taken together with R5. R5 represents a carbon atom. R6 represents a branched alkyl group having 3 or 4 carbon atoms.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 8, 2013
    Applicant: JSR CORPORATION
    Inventor: JSR CORPORATION
  • Patent number: 8501382
    Abstract: There are disclosed sulfonic acid precursor compositions, as are methods of using these compositions in, for example, photolithography. Other embodiments are also disclosed.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: August 6, 2013
    Assignee: The Research Foundation of State Univ. of New York
    Inventor: Robert L. Brainard
  • Patent number: 8501386
    Abstract: A thermosetting silicon-containing film-forming composition for forming a silicon-containing film to be formed in a multi-layer resist process used in lithography, the composition including at least: (A) a silicon-containing compound obtained by hydrolysis-condensation of a hydrolyzable silicon compound and compound(s) selected from the group consisting of a hydrolyzable silicon compound and a reactive compound; (B) a thermal crosslinking accelerator; (C) an organic acid with one, or two or more valency having 1 to 30 carbon atoms; and (D) an organic solvent.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: August 6, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano, Koji Hasegawa
  • Patent number: 8501392
    Abstract: A photosensitive element comprises a support, a photosensitive layer and a protective film laminated in that order, wherein the photosensitive layer is composed of a photosensitive resin composition containing a binder polymer, a photopolymerizing compound, a photopolymerization initiator and a compound with a maximum absorption wavelength of 370-420 nm, and the protective film is composed mainly of polypropylene.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: August 6, 2013
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Manabu Saitou, Junichi Iso, Tatsuya Ichikawa, Takeshi Ohashi, Hanako Yori, Masahiro Miyasaka, Takashi Kumaki
  • Patent number: 8501385
    Abstract: A positive-tone radiation-sensitive composition is used in a resist pattern-forming method as a first positive-tone radiation-sensitive composition. A positive-tone radiation-sensitive composition includes a polymer, a photoacid generator, and a solvent. The polymer includes an acid-labile group and a crosslinkable group. The resist pattern-forming method includes providing the first positive-tone radiation-sensitive composition on a substrate to form a first resist pattern on the substrate. The first resist pattern is made to be inactive to light or heat so that the first resist pattern is insoluble in a second positive-tone radiation-sensitive composition. The second positive-tone radiation-sensitive composition is provided on the substrate to form a second resist pattern on the substrate on which the first resist pattern is formed.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: August 6, 2013
    Assignee: JSR Corporation
    Inventors: Yusuke Anno, Kouichi Fujiwara, Makoto Sugiura, Gouji Wakamatsu
  • Publication number: 20130196269
    Abstract: A method of improving conductivity of a metal pattern (18) includes providing a developed silver pattern (14) formed from a photographic silver salt provided in a binder coated on a substrate (12); and selectively heating the silver pattern with electromagnetic radiation.
    Type: Application
    Filed: January 31, 2012
    Publication date: August 1, 2013
    Inventors: Donald R. Preuss, Mitchell S. Burberry
  • Publication number: 20130196114
    Abstract: A silicone structure-bearing polymer having a crosslinking group within the molecule, containing an isocyanurate structure bonded within the molecule, and having a Mw of 3,000-500,000 is provided. The polymer overcomes the stripping problem that a coating is stripped from metal wirings of Cu or Al, electrodes, and SiN substrates.
    Type: Application
    Filed: January 28, 2013
    Publication date: August 1, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Patent number: 8497061
    Abstract: A method for replicating production of a 3D parallax barrier is capable of transfer-printing a 3D parallax barrier pattern on a planar transparent substrate mainly with a glass photo mask having the 3D parallax barrier pattern through processes of photo resistor coating, exposure, and development by using a photolithography technology, thereby achieving the purpose of replicating production.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: July 30, 2013
    Assignee: Unique Instruments Co. Ltd
    Inventor: Ming-Yen Lin
  • Patent number: 8497062
    Abstract: The objective of the present invention is to provide a resin for forming an upper antireflective film and a composition for forming an upper antireflective film that can reduce a standing wave effect satisfactorily and lead excellent solubility in an alkaline developer in lithography and a method for forming a resist pattern. Specifically, the resin for forming an upper antireflective film has at least one unit selected from a repeating unit represented by the formula (1) and a repeating unit represented by the formula (2), has a weight average molecular weight of 1,000 to 100,000 as measured by GPC method, and is soluble in an alkaline developer. (In the formulae (1) and (2), R1 to R14 independently represent a hydrogen atom, —OH, —COOH or —SO3H, provided that all of R1 to R7 or R8 to R14 do not represent a hydrogen atom in a molecule.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: July 30, 2013
    Assignee: JSR Corporation
    Inventors: Norihiro Natsume, Norihiko Sugie, Junichi Takahashi
  • Publication number: 20130189618
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition including a base component, a photobase generator component and an acid supply component is applied to a substrate to form a resist film; step (2) in which the resist film is subjected to exposure without being subjected to prebaking; step (3) in which baking is conducted after step (2), such that, at an exposed portion of the resist film, the base generated from the photobase generator component upon the exposure and an acid derived from the acid supply component are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the action of the acid derived from the acid supply component; and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern.
    Type: Application
    Filed: September 13, 2012
    Publication date: July 25, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD
    Inventors: Jiro Yokoya, Tsuyoshi Nakamura, Hiroaki Shimizu, Hideto Nito
  • Publication number: 20130189620
    Abstract: The present invention provides a polymerizable tertiary ester compound represented by the following general formula (1a) or (1b). There is provided a polymerizable ester compound useful as a monomer for a base resin of a resist composition having a high resolution and a reduced pattern edge roughness in photolithography using a high-energy beam such as an ArF excimer laser light as a light source, especially in immersion lithography, a polymer containing a polymer of the ester compound, a resist composition containing the polymer as a base resin, and a patterning process using the resist composition.
    Type: Application
    Filed: January 16, 2013
    Publication date: July 25, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130189619
    Abstract: A resist composition containing a base component (A) that exhibits changed solubility in a developing solution under action of acid, a photoreactive quencher (C), and an acid generator component (B) that generates acid upon exposure, and further containing an acid (G) having a pKa of 4 or less.
    Type: Application
    Filed: December 11, 2012
    Publication date: July 25, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130183450
    Abstract: A method of forming a patterned photoresist layer having a hydrophobic surface is provided. The method includes forming a photoresist layer on a substrate and image patterning. The photoresist layer may comprise a polymeric material. The imaged photoresist layer may then undergo a two-stage post-exposure bake. A surface treatment may be performed on the photoresist layer in between the two-stage post-exposure bake. The surface treatment may include applying a siloxane solution on a partially post-exposure baked photoresist layer. The post-exposure baked photoresist layer may then be developed to form the patterned photoresist layer. The method may be used to form a hydrophobic photoimageable nozzle plate of a micro-fluid ejection head having improved mechanical properties and stable hydrophobic properties.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 18, 2013
    Inventors: David BERNARD, Paul DRYER, Bart MANSDORF, Xiaoming WU
  • Publication number: 20130183828
    Abstract: According to one embodiment, a pattern formation method includes forming a pattern on a layer. The layer has a first surface energy and includes a silicon compound. The pattern has a second surface energy different from the first surface energy. The method includes forming a block polymer on the layer and the pattern. The method includes forming a structure selected from a lamellar structure and a cylindrical structure of the block polymer containing polymers arranged by microphase separation. The lamellar structure is oriented perpendicularly to the layer surface. The cylindrical structure is oriented so as to have an axis parallel to a normal line of the layer surface. The second surface energy is not less than a maximum value of surface energies of the polymers or not more than a minimum value of the surface energies of the polymers.
    Type: Application
    Filed: September 20, 2012
    Publication date: July 18, 2013
    Inventors: Hiroko NAKAMURA, Satoshi Mikoshiba, Atsushi Hieno, Shigeki Hattori
  • Publication number: 20130183627
    Abstract: Exposure apparatus is equipped with an illumination optical device which illuminates a mask with an exposure beam, a mask table which holds a periphery of a pattern area of the mask from above so that a pattern surface of the mask becomes substantially parallel to an XY plane and makes a force at least parallel to an XY plane and on the mask, and a wafer stage which moves along the XY plane, holding a wafer substantially parallel to the XY plane. Therefore, an overlay with high precision of a pattern of a mask and an underlying pattern on the substrate can be realized, even though the exposure apparatus employs a proximity method, that is, the exposure apparatus does not use a projection optical system.
    Type: Application
    Filed: February 28, 2013
    Publication date: July 18, 2013
    Applicant: NIKON CORPORATION
    Inventor: Nikon Corporation
  • Publication number: 20130183609
    Abstract: A thin film patterning method may include forming a thin film by coating a precursor solution containing a precursor of metal oxide onto a substrate, soft baking the thin film, exposing the thin film to light by using a photomask, developing the thin film, and hard baking the developed thin film. The precursor may include metal acetate, for example, a zinc acetate-based material, and the metal oxide thin film may include zinc oxide (ZnO).
    Type: Application
    Filed: August 17, 2012
    Publication date: July 18, 2013
    Applicants: SAMSUNG DISPLAY CO., LTD., SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong-baek SEON, Myung-kwan RYU, Sang-yoon LEE
  • Publication number: 20130183626
    Abstract: A method for forming a fine pattern, including forming a resist film by applying, on a substrate, a resist composition containing a base material having a solubility, in a developer liquid including an organic solvent, that decreases according to an action of an acid, a compound which generates an acid upon irradiation, and an organic solvent; exposing the resist film; forming a resist pattern using the developer liquid; applying, on the resist pattern, a coating agent for pattern fining including a resin and an organic solvent; and heating the resist pattern on which a coating film is formed.
    Type: Application
    Filed: January 11, 2013
    Publication date: July 18, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Patent number: 8486609
    Abstract: The invention relates to an antireflective coating composition comprising a crosslinker and a crosslinkable polymer capable of being crosslinked by the crosslinker, where the crosslinkable polymer comprises a unit represented by structure (1): A-Bn??(1) where A is a fused aromatic ring and B has a structure (2), where R1 is C1-C4alkyl and R2 is C1-C4alkyl. The invention further relates to a process for forming an image using the composition.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: July 16, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: M. Dalil Rahman, Douglas McKenzie, Huirong Yao, JoonYeon Cho, Yi Yl, Guanyang Lin
  • Publication number: 20130177851
    Abstract: A photoresist composition comprising a resin which is selected from the group consisting of (meth)acryl resins and poly(hydroxystylene) resins, a novolak resin, an acid generator, and a compound represented by formula (X1): and a solvent.
    Type: Application
    Filed: December 14, 2012
    Publication date: July 11, 2013
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: SUMITOMO CHEMICAL COMPANY, LIMITED
  • Publication number: 20130177854
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in a developing solution, and an acidic compound component (J) which is decomposed by exposure to exhibit decreased acidity, wherein the acidic compound component (J) contains a compound represented by formula (J1) [in the formula, R1 represents H, OH, halogen atom, alkoxy group, hydrocarbon group or nitro group; m represents 0-4; n represents 0-3; Rx represents H or hydrocarbon group; X1 represents divalent linking group; X2 represents H or hydrocarbon group; Y represents single bond or C(O); A represents alkylene group which may be substituted with oxygen atom, carbonyl group or alkylene group which may have fluorine atom; Q1 and Q2 represents F or fluorinated alkyl group; and W+ represents primary, secondary or tertiary ammonium coutercation which exhibits pKa smaller than pKa of H2N+(X2)—X1—Y—O-A-C(Q1)(Q2)—SO3? generated by decomposition upon exposure].
    Type: Application
    Filed: January 10, 2013
    Publication date: July 11, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Publication number: 20130177857
    Abstract: A carrier system equipped with a fine movement stage holding a mounted wafer and can move along a predetermined plane, a chuck main section which holds the wafer above a predetermined position and can move vertically, and vertical movement pins supporting the wafer held by the chuck main section on the fine movement stage from below when the fine movement stage is positioned at the predetermined position and are vertically movable. A controller drives the chuck main section and the vertical movement pins downward until a lower surface of the wafer comes into contact with the fine movement stage while maintaining a hold state by the chuck main section to the wafer and a support state by the vertical movement pins to the wafer, and when the lower surface of the wafer comes into contact with the fine movement stage, the hold state and the support state are released.
    Type: Application
    Filed: December 26, 2012
    Publication date: July 11, 2013
    Inventor: NIKON CORPORATION
  • Publication number: 20130177853
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition containing a base component (A) that exhibits increased solubility in an alkali developing solution and a compound represented by general formula (C1) is applied to a substrate to form a resist film, step (2) in which the resist film is subjected to exposure, step (3) in which baking is conducted after step (2), and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern; and the resist composition used in step (1): wherein R1 represents a group which forms an aromatic ring together with the two carbon atoms bonded to the R1 group; R2 represents a hydrogen atom or a hydrocarbon group; and R3 represents a hydrogen atom, a carboxy group or a hydrocarbon group of 1 to 15 carbon atoms.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 11, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: Tokyo Ohka Kogyo Co., Ltd.
  • Patent number: 8481243
    Abstract: The present invention provides a resin comprising a structural unit represented by the formula (aa): wherein R1 represents a C1-C6 alkyl group optionally having one or more halogen atoms, a hydrogen atom or a halogen atom, T1 represents a C4-C34 sultone ring group optionally having one or more substituents, Z1 represents a C1-C6 alkanediyl group optionally having one or more substituents, or a group represented by the formula (a-1): -A10X10-A11sX11-A12-??(a-1) wherein X10 and X11 each independently represents —O—, —NH—, —CO—, —CO—O—, —O—CO—, —CO—NH— or —NH—CO—, A10, A11 and A12 each independently represent a C1-C5 divalent aliphatic hydrocarbon group optionally having one or more substituents, and s represents 0 or 1, and Z2 represents a single bond or —CO—.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: July 9, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Hyungjoo Kim, Akira Kamabuchi, Koji Ichikawa
  • Patent number: 8481247
    Abstract: To provide a resist underlayer film forming composition for lithography that is used in a lithography process for production of a semiconductor device. There is provided a resist underlayer film forming composition used in a lithography process for production of a semiconductor device, comprising a resin (A), a liquid additive (B) and a solvent (C). The liquid additive (B) may be an aliphatic polyether compound. The liquid additive (B) may be a polyether polyol, polyglycidyl ether or a combination thereof. Further, there is provided a method of manufacturing a semiconductor device, including the steps of forming a resist underlayer film by applying the resist underlayer film forming composition on a semiconductor substrate and by calcining the composition; forming a photoresist layer on the underlayer film; exposing the semiconductor substrate coated with the resist underlayer film and the photoresist layer to light; and developing the photoresist layer after the exposure to light.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: July 9, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yusuke Horiguchi, Tetsuya Shinjo, Satoshi Takei
  • Patent number: 8481244
    Abstract: A photo-curable resin composition comprising an epoxy-containing silphenylene or silicone polymer with a Mw of 3,000-500,000 forms a coating which is useful as a protective film for electric/electronic parts.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: July 9, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Hideto Kato
  • Patent number: 8481248
    Abstract: A method for fabricating a micromachine component of resin comprising step (a) of forming a sacrifice layer on a substrate, step (b) of forming at least two photosensitive resin composition layers sequentially on the sacrifice layer, and performing photolithography of each photosensitive resin composition layer to form an air gap portion defining the circumferential edge portion of the micromachine component and an air gap portion where an internal structure of the micromachine component is constituted to form a multilayer structure, step (c) for depositing dry film resist on the multilayer structure of the cured photosensitive resin composition layer, and performing photolithography of the dry film resist layer to form a cured dry film resist layer in which an air gap portion defining the circumferential edge of a shroud layer and an air gap where the structure of the shroud layer is constituted are formed, and step (d) for separating the micromachine component having the multilayer structure of the cured ph
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: July 9, 2013
    Assignees: Tohoku University, Nippon Kayaku Kabushiki Kaisha
    Inventors: Nao Honda, Satoshi Mori, Shuji Tanaka, Masayoshi Esashi
  • Publication number: 20130171560
    Abstract: Provided are an additive for resist represented by the following formula (1), and a resist composition containing the additive. The additive according to the present invention can suppress leaching caused by water during an immersion lithographic process by increasing hydrophobicity of the surface of the resist film in the exposure at the time of applying the additive to a resist composition, and can form a fine resist pattern having excellent sensitivity and resolution at the time of applying the additive to a resist composition. wherein the substituents respectively have the same meanings as defined above.
    Type: Application
    Filed: December 13, 2012
    Publication date: July 4, 2013
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventor: KOREA KUMHO PETROCHEMICAL CO., LTD.
  • Publication number: 20130171574
    Abstract: Provided are methods of trimming photoresist patterns. The methods involve coating a photoresist trimming composition over a photoresist pattern, wherein the trimming composition includes a matrix polymer, a free acid having fluorine substitution and a solvent, the trimming composition being free of cross-linking agents. The coated semiconductor substrate is heated to cause a change in polarity of the resist polymer in a surface region of the photoresist pattern. The photoresist pattern is contacted with a developing solution to remove the surface region of the photoresist pattern. The methods find particular applicability in the formation of very fine lithographic features in the manufacture of semiconductor devices.
    Type: Application
    Filed: December 31, 2012
    Publication date: July 4, 2013
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventor: ROHM AND HAAS ELECTRONIC MATERIALS LLC