Post Image Treatment To Produce Elevated Pattern Patents (Class 430/325)
  • Patent number: 8729148
    Abstract: Disclosed herein is a photocurable dry film including a structure having a photocurable resin layer sandwiched between a support film and a protective film, the photocurable resin layer being formed of a photocurable resin composition including ingredients (A) to (D): (A) a silicone skeleton-containing polymer compound having the repeating units represented by the following general formula (1) wherein X and Y, respectively, a divalent organic group represented by the following general formula (2) or (3) (B) a crosslinking agent selected from formalin-modified or formalin-alcohol-modified amino condensates and phenolic compound having on average two or more methylol groups or alkoxymethylol groups in one molecule; (C) a photoacid generator capable of generating an acid by decomposition with light having a wavelength of 190 to 500 nm; and (D) a solvent.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: May 20, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoshi Asai, Takanobu Takeda, Hideto Kato
  • Patent number: 8728705
    Abstract: A negative photosensitive material is provided which has a lower linear expansion coefficient and a lower hygroscopic expansion coefficient and is excellent in gradational patternability and PI etchability in patterning.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: May 20, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Katsutoshi Hirashima, Hirofumi Fujii, Yasushi Tamura, Ryouji Suezaki
  • Publication number: 20140134843
    Abstract: The present disclosure relates to novel methanofullerene derivatives, negative-type photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for fine pattern processing using, for example, ultraviolet radiation, beyond extreme ultraviolet radiation, extreme ultraviolet radiation, X-rays and charged particle rays.
    Type: Application
    Filed: October 31, 2013
    Publication date: May 15, 2014
    Inventors: Alex Philip Graham Robinson, Jon Andrew Preece, Richard Edward Palmer, Andreas Frommhold, Dongxu Yang, Alexandra McClelland, Drew Athens, Xiang Xu
  • Publication number: 20140134524
    Abstract: A method of fabricating a substrate-HSQ resist material in which the substrate is selected from germanium (Ge) or gallium arsenide (GaAs) comprises the steps of pretreating a surface of the substrate to provide halogen termination of the substrate surface such that surface oxide is removed, and applying a HSQ resist to the surface. Removal of surface oxide allows the use of aqueous HSQ developers without causing damage to the surface. Also disclosed is a substrate-HSQ resist material, in which the substrate is selected from germanium or gallium arsenide, suitable for use in nanodevice fabrication and comprising a germanium or gallium arsenide substrate having a surface bearing a high resolution HSQ resist film or layer, in which the substrate has a halogen terminated surface.
    Type: Application
    Filed: April 19, 2012
    Publication date: May 15, 2014
    Inventors: Richard Hobbs, Nikolay Petkov, Justin Holmes
  • Publication number: 20140134544
    Abstract: A resist pattern-forming method includes applying a resist underlayer film-forming composition to a substrate to form a resist underlayer film. The resist underlayer film-forming composition includes (A) a polysiloxane. A radiation-sensitive resin composition is applied to the resist underlayer film to form a resist film. The radiation-sensitive resin composition includes (a1) a polymer that changes in polarity and decreases in solubility in an organic solvent due to an acid. The resist film is exposed. The exposed resist film is developed using a developer that includes an organic solvent.
    Type: Application
    Filed: January 17, 2014
    Publication date: May 15, 2014
    Applicant: JSR Corporation
    Inventors: Yusuke ANNO, Takashi MORI, Hirokazu SAKAKIBARA, Taiichi FURUKAWA, Kazunori TAKANASHI, Hiromitsu TANAKA, Shin-ya MINEGISHI
  • Publication number: 20140134539
    Abstract: To provide an alcohol compound containing fewer impurities at a high yield by conducting the following steps: a hydroboration process in which a reaction mixture is obtained by reacting in a solvent a compound represented by formula (C) and a boron agent selected from a group of diborane and borane complexes; and an oxidation process in which the pH of the reaction mixture is set at 0.5 to 4, which is conducted after treating the reaction mixture with hydrogen peroxide. In the formula, A1 to A6 are each independently a hydrogen atom, methyl group or ethyl group, and X is an oxygen atom, sulfur atom, methylene group or ethylene group.
    Type: Application
    Filed: June 14, 2012
    Publication date: May 15, 2014
    Applicant: Mitsubishi Rayon Co., Ltd.
    Inventors: Satoshi Sakuma, Masashi Serizawa, Atsushi Yasuda, Nobushi Yada, Shinichi Maeda
  • Patent number: 8722321
    Abstract: A negative pattern is formed by applying a resist composition onto a substrate, baking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to selectively dissolve the unexposed region of resist film. The resist composition comprising a hydrogenated ROMP polymer comprising recurring units having an acid labile group-protected carboxyl group and recurring units having a lactone structure displays a high dissolution contrast in organic solvent development, and exhibits high dry etch resistance even when the acid labile group is deprotected through exposure and PEB.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: May 13, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tomohiro Kobayashi, Takeshi Kinsho, Akihiro Seki, Kentaro Kumaki
  • Publication number: 20140127626
    Abstract: A negative tone-development resist composition for forming a guide pattern, including a base component (A) and an acid generator component (B), the base component (A) including a resin component (A1) having a structural unit (a1) derived from an acrylate ester containing an acid dissociable group, and at least one of the following structural units (a2): a structural unit derived from an acrylic acid ester containing a lactone-containing cyclic group, a structural unit derived from an acrylic acid ester containing an ether-containing cyclic group and a structural unit derived from an acrylic acid ester containing a carbonate-containing cyclic group, and a constituent unit (a1) derived from an acrylic acid ester containing an acid-labile group, the acid generator component (B) including an acid generator (B1) including at least one compound represented by general formula (b1) or (b2) shown below
    Type: Application
    Filed: October 5, 2011
    Publication date: May 8, 2014
    Applicants: RIKEN, TOKYO OHKA KOGYO CO., LTD.
    Inventors: Takahiro Senzaki, Takahiro Dazai, Ken Miyagi, Shigenori Fujikawa, Mari Koizumi, Harumi Hayakawa
  • Publication number: 20140127625
    Abstract: The present invention provides improved solvents and photoresists for the photolithographic patterning of organic electronic devices, systems comprising combinations of these solvents and photoresists, and methods for using these systems of solvents and photoresists to pattern various organic electronic materials.
    Type: Application
    Filed: April 24, 2012
    Publication date: May 8, 2014
    Applicant: ORTHOGONAL, INC.
    Inventors: John Defranco, Charles Warren Wright
  • Publication number: 20140127627
    Abstract: Provided is an actinic ray-sensitive or radiation-sensitive resin composition, a resist film formed with the composition, and a pattern-forming method using the same. The actinic ray-sensitive or radiation-sensitive resin composition includes (P) a resin that contains the following repeating units (A), (B) and (C); and a solvent having a boiling temperature of 150° C. or less, (A) a repeating unit containing a group capable of decomposing and forming an acid upon irradiation with an actinic ray or radiation, (B) a repeating unit containing a group capable of decomposing and forming a carboxylic acid by the action of an acid, and (C) a repeating unit containing a carbon-carbon unsaturated bond.
    Type: Application
    Filed: November 6, 2012
    Publication date: May 8, 2014
    Inventors: Takayuki Ito, Hidenori Takahashi, Tomotaka Tsuchimura, Shohei Kataoka, Takeshi Inasaki
  • Publication number: 20140127478
    Abstract: The present invention provides a resist pattern-forming composition capable of forming a resist pattern excellent in etching resistance. The invention also provides a resist pattern formation method using that composition. The composition comprises pure water and a water-soluble resin having aromatic group-containing substituents in its side chain. The composition also contains a free acid or an acid group combined with the water-soluble resin.
    Type: Application
    Filed: July 13, 2012
    Publication date: May 8, 2014
    Inventors: Toshira Okamura, Georg Pawlowski, Masahiro Ishii
  • Patent number: 8715917
    Abstract: A photoresist layer is lithographically exposed to form lithographically exposed photoresist regions and lithographically unexposed photoresist regions. The photoresist layer is developed with a non-polar or weakly polar solvent including a dissolved neutral polymer material. A neutral polymer layer is selectively formed on physically exposed surfaces of a hard mask layer underlying the photoresist layer. The neutral polymer layer has a pattern corresponding to the complement of the area of remaining portions of the photoresist layer. The remaining portions of the photoresist layer are then removed with a polar solvent without removing the neutral polymer layer on the hard mask layer. A block copolymer material can be subsequently applied over the neutral polymer, and the neutral polymer layer can guide the alignment of a phase-separated block copolymer material in a directed self-assembly.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Steven J. Holmes, Jassem Ahmed Abdallah, Joy Cheng, Matthew E. Colburn, Chi-chun Liu
  • Patent number: 8715913
    Abstract: The present invention is a silicon-containing resist underlayer film-forming composition containing at least any one of a condensation product and a hydrolysis condensation product or both of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the following general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the following general formula (2). Thereby, there can be provided a resist underlayer film applicable not only to the resist pattern formed of a hydrophilic organic compound obtained by the negative development but also to the resist pattern formed of a hydrophobic compound obtained by the conventional positive development.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: May 6, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Fujio Yagihashi
  • Patent number: 8715907
    Abstract: A negative developable bottom antireflective coating (NDBARC) material includes a polymer containing an aliphatic alcohol moiety, an aromatic moiety, and a carboxylic acid moiety. The NDBARC composition is insoluble in a typical resist solvent such as propylene glycol methyl ether acetate (PGMEA) after coating and baking. The NDBARC material also includes a photoacid generator, and optionally a crosslinking compound. In the NDBARC material, the carboxylic acid provides the developer solubility, while the alcohol alone, the carboxylic acid alone, or their combination provides the PGMEA resistance. The NDBARC material has resistance to the resist solvent, and thus, intermixing does not occur between NDBARC and resist during resist coating over NDBARC.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Sen Liu
  • Publication number: 20140120459
    Abstract: A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 1, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Lin Liu, Chia-Chu Liu, Kuei-Shun Chen, Chung-Ming Wang, Chie-Chieh Lin
  • Publication number: 20140120472
    Abstract: A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) including a compound represented by (b1-1), a compound represented by (b1-1?) and/or a compound represented by (b1-1?) (R1?-R3? represents an aryl group or an alkyl group, provided that at least one of R1?-R3? represents a substituted aryl group being substituted with a group represented by (b1-1-0), and two of R1?-R3? may be mutually bonded to form a ring with the sulfur atom; X represents a C3-C30 hydrocarbon group; Q1 represents a carbonyl group-containing divalent linking group; X10 represents a C1-C30 hydrocarbon group; Q3 represents a single bond or a divalent linking group; Y10 represents —C(?O)— or —SO2—; Y11 represents a C1-C10 alkyl group or a fluorinated alkyl group: Q2 represents a single bond or an alkylene group; and W represents a C2-C10 alkylene group).
    Type: Application
    Filed: January 6, 2014
    Publication date: May 1, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo HADA, Yoshiyuki UTSUMI, Takehiro SESHIMO, Akiya KAWAUE
  • Publication number: 20140120476
    Abstract: A method of forming a photoresist pattern, in which, a substrate is coated with a photoresist layer, an exposure process is performed on the photoresist layer to expose the photoresist layer, the photoresist layer is rinsed with a surfactant after the exposure process is performed, and the photoresist layer is post-exposure baked after the photoresist layer is rinsed with the surfactant.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 1, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Tuan-Yen Yu, Yuan-Chi Pai, Chun-Chi Yu
  • Publication number: 20140120470
    Abstract: New photoresist compositions are provided that comprise a component that comprises a radiation-insensitive ionic compound. Preferred photoresists of the invention may comprise a resin with photoacid-labile groups; a photoacid generator compound; and a radiation-insensitive ionic compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Gerhard POHLERS, Cong LIU, Cheng-Bai XU, Chunyi WU
  • Publication number: 20140120471
    Abstract: A compound having the formula (I): wherein a is an integer of from 1 to 10, and x is an integer of from 1 to 3, X1 comprises a fluoroalcohol, fluorinated ester, or fluorinated anhydride, Y is a single bond, C1-20 alkylene group, O, S, NR, ester, carbonate, sulfonate, sulfone, or sulfonamide, wherein R is H or C1-20 alkyl, and wherein the C1-20 alkylene group is structurally only carbon, or one or more structural carbon atoms in the C1-20 alkylene group is replaced by oxygen, carbonyl, ester, or a combination comprising at least one of the foregoing, Ar is a substituted or unsubstituted, C5 or greater monocyclic, polycyclic, or fused polycyclic cycloalkyl; or a substituted or unsubstituted, C5 or greater monocyclic, polycyclic, or fused polycyclic aryl group, wherein the cycloalkyl or aryl is a carbocycle or comprises a heteroatom comprising O, S, N, F, or a combination comprising at least one of the foregoing, each R1 is independently a substituted C5-40 aryl, substituted C5-40 heteroaryl, C1-40 alkyl, a
    Type: Application
    Filed: October 26, 2012
    Publication date: May 1, 2014
    Inventors: Emad Aqad, Cheng-Bai Xu, Cong Liu, Mingqi Li, Shintaro Yamada
  • Publication number: 20140120462
    Abstract: A photosensitive resin composition including: (a) a polybenzoxazole precursor; (b) a photosensitizer; (c) a solvent; (d) a cross-linking agent; and (e) a heterocyclic compound including a hydroxyl group, an alkoxy group or a carboxyl group within a molecule.
    Type: Application
    Filed: June 13, 2012
    Publication date: May 1, 2014
    Applicant: Hitachi Chemical DuPont Microsystems, Ltd.
    Inventors: Tomonori Minegishi, Shigeki Katogi
  • Publication number: 20140120477
    Abstract: A method of processing a substrate in a substrate processing apparatus that is arranged adjacent to an exposure device and includes first, second and third processing units, includes forming a photosensitive film on the substrate by said first processing unit before exposure processing by said exposure device and applying washing processing to the substrate by supplying a washing liquid to the substrate in said second processing unit after the formation of said photosensitive film and before the exposure processing. The method also includes applying drying processing to the substrate in said second processing unit after the washing processing by said second processing unit and before the exposure processing and applying development processing to the substrate by said third processing unit after the exposure processing. Applying the drying processing to the substrate includes the step of supplying an inert gas onto the substrate, to which the washing liquid is supplied.
    Type: Application
    Filed: January 7, 2014
    Publication date: May 1, 2014
    Inventors: Shuichi Yasuda, Masashi Kanaoka, Koji Kaneyama, Tadashi Miyagi, Kazuhito Shigemori, Toru Asano, Yukio Toriyama, Takashi Taguchi, Tsuyoshi Mitsuhashi, Tsuyoshi Okumura
  • Publication number: 20140120473
    Abstract: A colored radiation-sensitive composition for a color filter that includes (A) a pigment, (B) a photopolymerization initiator, and (C) a polymerizable compound, in which the colored radiation-sensitive composition satisfies the following conditions: when a colored radiation-sensitive composition layer is formed from the colored radiation-sensitive composition such that spectral transmittance at 600 nm becomes 30%, the colored radiation-sensitive composition layer includes the following characteristics: (1) spectral transmittance at 400 nm is 20% or less; (2) spectral transmittance at 550 nm is 10% or less; (3) spectral transmittance at 700 nm is 70% or more; (4) a wavelength resulting in 50% spectral transmittance is in a range of from 650 nm to 680 nm; and (5) a film thickness of the colored radiation-sensitive composition layer is in a range of from 0.55 ?m to 1.8 ?m.
    Type: Application
    Filed: January 6, 2014
    Publication date: May 1, 2014
    Applicant: FUJIFILM Corporation
    Inventor: Kaoru AOYAGI
  • Patent number: 8709706
    Abstract: The present invention provides methods and an apparatus controlling and minimizing process defects in a development process, and modifying line width roughness (LWR) of a photoresist layer after the development process, and maintaining good profile control during subsequent etching processes. In one embodiment, a method for forming features on a substrate includes developing and removing exposed areas in the photosensitive layer disposed on the substrate in the electron processing chamber by predominantly using electrons, removing contaminants from the substrate by predominantly using electrons, and etching the non-photosensitive polymer layer exposed by the developed photosensitive layer in the electron processing chamber by predominantly using electrons.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: April 29, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Ajay Kumar, Kartik Ramaswamy, Omkaram Nalamasu
  • Publication number: 20140113223
    Abstract: There is provided a pattern forming method comprising: (i) a step of forming a first film on a substrate by using a first resin composition (I), (ii) a step of forming a second film on the first film by using a second resin composition (II) different from the resin composition (I), (iii) a step of exposing a multi-layered film having the first film and the second film, and (iv) a step of developing the first film and the second film in the exposed multi-layered film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: December 27, 2013
    Publication date: April 24, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Keita KATO, Michihiro SHIRAKAWA, Tadahiro ODANI, Atsushi NAKAMURA, Hidenori TAKAHASHI, Kaoru IWATO
  • Publication number: 20140113236
    Abstract: A solvent developing negative-tone resist composition containing a base component (A) which exhibits increased polarity and reduced solubility in an organic solvent under action of an acid and an acid generator component (B) which generates an acid upon exposure, the component (A) including a resin component (A1) containing: a structural unit (a2) derived from an acrylate ester containing a 4- to 12-membered lactone-containing cyclic group, a 3- to 7-membered ether-containing cyclic group or a 5- to 7-membered carbonate-containing cyclic group; and a structural unit (a1) derived from an acrylate ester containing an acid decomposable group which exhibited increased polarity by the action of acid, and the acid generator component (B) including an acid generator (B1) containing a compound which generates a sulfonic acid upon exposure.
    Type: Application
    Filed: June 8, 2012
    Publication date: April 24, 2014
    Applicants: Riken, Tokyo Ohka Kogyo Co., Ltd
    Inventors: Takahiro Senzaki, Ken Miyagi, Shigenori Fujikawa, Mari Koizumi, Harumi Hayakawa
  • Patent number: 8703392
    Abstract: The present disclosure involves a method of fabricating a semiconductor device. The method includes providing a substrate having a material layer formed thereon; depositing a photoresist layer on the material layer, the photoresist layer having a vertical dimension; exposing a region of the photoresist layer to radiation, the exposed region having a horizontal dimension, wherein a first ratio of the vertical dimension to the horizontal dimension exceeds a predetermined ratio; and developing the photoresist layer to remove the exposed region at least in part through applying a developer solution containing a first chemical and a second chemical, wherein: the first chemical is configured to dissolve the exposed region of the photoresist layer through a chemical reaction; the second chemical is configured to enhance flow of the first chemical that comes into contact with the photoresist layer; and an optimized second ratio exists between the first chemical and the second chemical.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: April 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lun Liu, Chia-Chu Liu, Kuei-Shun Chen, Chung-Ming Wang, Ying-Hao Su
  • Patent number: 8703408
    Abstract: A pattern is formed by coating a resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group and recurring units having an acid labile group-substituted carboxyl group, an acid generator, and an organic solvent onto a substrate, prebaking to form a resist film, exposing the resist film to high-energy radiation, PEB, and developing the exposed film two times with an organic solvent and an alkaline aqueous solution. Due to the two developments, one line is divided into two lines, achieving a resolution doubling the mask pattern.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: April 22, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Koji Hasegawa
  • Patent number: 8703407
    Abstract: According to one embodiment, a pattern formation method contains: forming first guides by changing a surface energy of an underlayer material by transferring a pattern of a photomask onto the underlayer material by exposure, and forming second guides by changing the surface energy of the underlayer material between the first guides by diffraction of exposure light generated from the exposure; applying a block copolymer containing a plurality of types of polymer block chains onto the underlayer material; and causing any one of the polymer block chains to form a pattern in accordance with the first and second guides by microphase separation of the block copolymer by a heat treatment.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: April 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuriko Seino, Yukiko Kikuchi
  • Patent number: 8703409
    Abstract: A method for forming a microstructure includes: (a) forming a photocurable layer on a substrate, the photocurable layer including at least one photocurable compound that has a photocurable functional group equivalent weight ranging from 70 to 700 g/mol; (b) covering partially the photocurable layer using a patterned mask; (c) exposing the photocurable layer through the patterned mask using a first light source so that the photocurable layer is cured at first regions which are exposed; (d) removing the patterned mask; and (e) illuminating the photocurable layer using a second light source to cure second regions of the photocurable layer which have not been cured. The first and second regions have different surface heights and provide a surface roughness for the microstructure.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: April 22, 2014
    Assignee: Far Eastern New Century Corporation
    Inventors: Da-Ren Chiou, Wei-Che Hung, Shoh-Yue Lin, Chiu-Fang Chen, Tzu-Ying Chen
  • Publication number: 20140106281
    Abstract: A method for processing a plurality of substrates after forming a photosensitive film on each substrate includes carrying each substrate into a placement buffer including a plurality of supporters by a first transport mechanism; taking out each substrate from the placement buffer to an interface by a second transport mechanism; carrying each substrate into the exposure device; carrying each substrate out of the exposure device into the placement buffer by the second transport mechanism; taking out each substrate from the placement buffer to the processing section by the first transport mechanism; performing development processing on each substrate; making each substrate stand by at the placement buffer based on timing at which the exposure device can accept each substrate; and making each substrate stand by at the placement buffer based on timing at which the developing device can accept each substrate.
    Type: Application
    Filed: December 13, 2013
    Publication date: April 17, 2014
    Inventors: Tetsuya HAMADA, Takashi TAGUCHI
  • Patent number: 8697336
    Abstract: The present invention provides a composition for forming a bottom anti-reflective coating, and also provides a photoresist pattern formation method employing that composition. The composition gives a bottom anti-reflective coating used in a lithographic process for manufacturing semiconductor devices, and the coating can be developed with a developing solution for photoresist. The composition contains a solvent, a polymer having a condensed polycyclic aromatic group, and a compound having a maleimide derivative or a maleic anhydride derivative. The composition may further contain a photo acid generator or a crosslinking agent.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: April 15, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Shigemasa Nakasugi, Kazuma Yamamoto, Yasushi Akiyama, Shinji Miyazaki, Munirathna Padmanaban, Srinivasan Chakrapani
  • Patent number: 8697332
    Abstract: An object of the present invention is to provide a base generator which has sensitivity and is applicable to a wide range of applications, and a photosensitive resin composition which is applicable to a wide range of applications due to the structure of a polymer precursor in which reaction into a final product is promoted by a basic substance or by heating in the presence of a basic substance. The base generator generates a base by exposure to electromagnetic radiation and heating. The photosensitive resin composition comprises a polymer precursor in which reaction into a final product is promoted by the base generator and a basic substance or by heating in the presence of a basic substance.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: April 15, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Mami Katayama, Shunji Fukuda, Katsuya Sakayori, Kouji Kawaguchi
  • Publication number: 20140099573
    Abstract: The present invention provides photosensitive compositions and methods of patterning a polymeric image on a substrate, said methods comprising; (a) depositing a layer of photosensitive composition of any one of claims 15 to 22 on the substrate; and (b) irradiating a portion of the layer of photosensitive composition with a light comprising a wavelength in a range of from about 220 to about 440 nm. The invention also relates to methods of metathesizing an unsaturated organic precursor comprising irradiating Fischer-type carbene ruthenium catalysts with at least one wavelength of light in the presence of at least one unsaturated organic precursor so as to metathesize at least one alkene or one alkyne bond.
    Type: Application
    Filed: October 3, 2013
    Publication date: April 10, 2014
    Applicant: CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: RAYMOND WEITEKAMP, ROBERT H. GRUBBS
  • Publication number: 20140099583
    Abstract: A photoresist layer is lithographically exposed to form lithographically exposed photoresist regions and lithographically unexposed photoresist regions. The photoresist layer is developed with a non-polar or weakly polar solvent including a dissolved neutral polymer material. A neutral polymer layer is selectively formed on physically exposed surfaces of a hard mask layer underlying the photoresist layer. The neutral polymer layer has a pattern corresponding to the complement of the area of remaining portions of the photoresist layer. The remaining portions of the photoresist layer are then removed with a polar solvent without removing the neutral polymer layer on the hard mask layer. A block copolymer material can be subsequently applied over the neutral polymer, and the neutral polymer layer can guide the alignment of a phase-separated block copolymer material in a directed self-assembly.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 10, 2014
    Applicant: International Business Machines Corporation
    Inventors: Steven J. Holmes, Jassem Ahmed Abdallah, Joy Cheng, Matthew E. Colburn, Chi-chun Liu
  • Publication number: 20140099572
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound (P) containing at least one phenolic hydroxyl group and at least one group with a phenolic hydroxyl group whose hydrogen atom is replaced by any of groups of general formula (1) below.
    Type: Application
    Filed: December 12, 2013
    Publication date: April 10, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Takeshi INASAKI, Takeshi KAWABATA, Tomotaka TSUCHIMURA
  • Patent number: 8691925
    Abstract: The present invention relates to novel neutral layer compositions and methods for using the compositions. The neutral layer composition comprises at least one random copolymer having at least one unit of structure (1), at least one unit of structure (2) and at least one unit of structure (3) where R1 is selected from the group consisting of a C1-C8 alkyl, C1-C8 fluoroalkyl moiety, C1-C8 partially fluorinated alkyl, C4-C8 cycloalkyl, C4-C8 cyclofluoroalkyl, C4-C8 partially fluorinated cycloalkyl, and a C2-C8 hydroxyalkyl; R2, R3 and R5 are independently selected from a group consisting of H, C1-C4 alkyl, CF3 and F; R4 is selected from the group consisting of H, C1-C8 alkyl, C1-C8 partially fluorinated alkyl and C1-C8 fluoroalkyl, n ranges from 1 to 5, R6 is selected from the group consisting of H, F, C1-C8 alkyl and a C1-C8 fluoroalkyl and m ranges from 1 to 3.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 8, 2014
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: Hengpeng Wu, Yi Cao, SungEun Hong, Jian Yin, Margareta Paunescu, Mark O. Neisser, Guanyang Lin
  • Publication number: 20140093826
    Abstract: A composition for forming a liquid immersion upper layer film includes a polymer component including a polymer having a structural unit represented by a formula (1); and a solvent. R1 represents a carboxy group or a group represented by a formula (2); X represents a single bond, a divalent hydrocarbon group having 1 to 20 carbon atoms or a divalent fluorinated hydrocarbon group having 1 to 20 carbon atoms; R2 represents a hydrocarbon group having 1 to 20 carbon atoms and a valency of (n+1), a fluorinated hydrocarbon group having 1 to 20 carbon atoms and a valency of (n+1), or one of these groups each including between adjacent two carbon atoms thereof —CO—, —COO—, —O—, —NR?—, —CS—, —S—, —SO—, —SO2— or a combination thereof; and R3 represents a hydrogen atom or a monovalent organic group having 1 to 20 carbon atoms.
    Type: Application
    Filed: September 20, 2013
    Publication date: April 3, 2014
    Applicant: JSR CORPORATION
    Inventors: Shinya MINEGISHI, Kiyoshi TANAKA, Kazunori KUSABIRAKI
  • Publication number: 20140093827
    Abstract: A resist pattern formation method including formation of a resist film, exposure, development, and subsequent rinsing using a resist composition containing a high-molecular compound having a constituent unit represented by the formula (a0-1), a constituent unit containing an acid decomposable group whose polarity increases by the action of an acid, and a constituent unit containing a group represented by the formula (a2-r-1). R represents a hydrogen atom, an alkyl group, or a halogenated alkyl group; Ra01 represents a lactone-containing polycyclic group, an —SO2-containing polycyclic group, or a cyano group-containing polycyclic group; Ra?21 represents a hydrogen atom, an alkyl group, an alkoxy group, a halogen atom, a halogenated alkyl group, a hydroxyl group, —COOR?, —OC(?O)R?, a hydroxyalkyl group, or a cyano group; R? represents a hydrogen atom or an alkyl group; and n? represents an integer of from 0 to 2.
    Type: Application
    Filed: September 20, 2013
    Publication date: April 3, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoichi Hori, Shinichi Hidesaka, Takeaki Shiroki
  • Publication number: 20140093690
    Abstract: Embodiments of the invention relate to microfabrication of three dimensional polymeric structures incorporating a large number of identical elements each having one or more materials. In specific embodiments, the structures are large area fiber optic plates and associated structures, wherein the fibers are precisely located relative to each other and can serve as optical readout, such as optical readout for high density microarrays of biomaterial and other chemicals or pharmaceuticals. A three-dimensional fiber optic plate can be fabricated by a lithographic process in which a 2D solid slice is produced by exposing a 2D layer of photocurable liquid to ultraviolet light. The cured layer is lowered and the process is repeated to build the plate layer by layer.
    Type: Application
    Filed: May 31, 2012
    Publication date: April 3, 2014
    Applicant: NANOPTICS, INCORPORATED
    Inventors: Youngwook Noh, Richard T. Farley, James K. Walker
  • Publication number: 20140093824
    Abstract: A resist composition including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) and a structural unit (a6) which generates acid upon exposure, and a method of forming a resist pattern using the resist composition. In general formula (a0-1), R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; Wa0 represents a single bond or an aliphatic hydrocarbon group having 1 to 5 carbon atoms and having a valency of (na0+1); Ra0 represents an aryl group of 4 to 16 carbon atoms which may have a substituent; and na0 represents 1 or 2.
    Type: Application
    Filed: October 1, 2013
    Publication date: April 3, 2014
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daisuke Kawana, Kenta Suzuki, Tatsuya Fujii, Jun Iwashita, Kenri Konno
  • Patent number: 8685632
    Abstract: A lithographic apparatus includes a source configured to generate a radiation beam comprising desired radiation and undesired radiation using a plasma, an illumination system configured to condition the radiation beam and to receive hydrogen gas during operation of the lithographic apparatus, and a support structure constructed to hold a patterning device. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus is configured such that the radiation beam on entering the projection system includes at least 50% of the undesired radiation that is generated by the plasma and includes wavelengths of radiation that interact with the hydrogen gas to generate hydrogen radicals.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: April 1, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Antonius Theodorus Wilhelmus Kempen, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Erik Roelof Loopstra
  • Patent number: 8685631
    Abstract: A nozzle is moved while supplying a photoresist liquid from a slit. A photoresist layer is formed on a film. A resist pattern which covers a portion of the film is formed from the photoresist layer by photolithography. Inspection of the resist pattern is performed. The photolithography includes an exposure which is performed so as to transfer a latent image to the photoresist layer, and a development of the photoresist layer which is performed so as to leave the latent image. The latent image contains a dummy latent image which extends in an unbroken manner parallel to the longitudinal direction of the slit. The resist pattern contains a dummy resist formed correspondingly to the dummy latent image. The inspection of the resist pattern includes the detection of the presence or non-presence of a cut in the dummy resist in the longitudinal direction.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: April 1, 2014
    Assignee: Panasonic Liquid Crystal Display Co., Ltd.
    Inventors: Yoshihiro Daito, Ryuuta Watanabe, Yoshitaka Imabayashi
  • Publication number: 20140087310
    Abstract: A pattern forming method comprises (i) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing: (A) a resin containing a repeating unit having a group capable of decomposing by the action of an acid to produce a polar group, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern, wherein the content of a repeating unit represented by the following formula (I) is less than 20 mol % based on all repeating units in the resin (A) and the resin (A) contains a repeating unit having a non-phenolic aromatic group other than the repeating unit represented by the specific formula.
    Type: Application
    Filed: December 2, 2013
    Publication date: March 27, 2014
    Applicant: FUJIFILM Corporation
    Inventors: Keita KATO, Michihiro SHIRAKAWA, Hidenori TAKAHASHI, Shoichi SAITOH, Fumihiro YOSHINO
  • Publication number: 20140087311
    Abstract: The present invention provides a cross-linking agent capable of preventing formation of scum from a bottom anti-reflective coating, and also provides a composition for forming a bottom anti-reflection coating containing the agent. The cross-linking agent is a nitrogen-containing aromatic compound having at least one vinyloxy group or N-methoxymethylamide group, and the composition contains the cross-linking agent. The cross-linking agent of the formula (1) can be produced by reaction of a nitrogen-containing aromatic compound, a halogen compound having a vinyloxy group or N-methoxymethylamide group and a basic compound.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 27, 2014
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Shigemasa NAKASUGI, Shinji MIYAZAKI, Munirathna PADMANABAN, Alberto D. DIOSES
  • Patent number: 8678284
    Abstract: A method for creating a highly accurate nanostructure is provided, the method includes: (i) creating a highly accurate nanostructure prototype, wherein the highly accurate nanostructure prototype, when illuminated with a predefined illumination, provides a unique optical pattern; (ii) creating at least one highly accurate nanostructure mold from the highly accurate nanostructure prototype, wherein each highly accurate nanostructure mold enables a creation of a highly accurate nanostructure that is substantially similar to the highly accurate nanostructure prototype and which, when illuminated with the predefined illumination, provides the unique optical pattern; and (iii) molding the highly accurate nanostructure using the highly accurate nanostructure mold, wherein the highly accurate nanostructure, when illuminated with the predefined illumination, provides the unique optical pattern.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: March 25, 2014
    Assignee: Ramot at Tel-Aviv University Ltd.
    Inventor: Jacob Scheuer
  • Publication number: 20140080056
    Abstract: New onium salt compounds and methods for synthesis of such compounds are provided. Preferred methods of the invention include (a) providing an onium salt compound comprising a sulfonate component having an electron withdrawing group; and (b) treating the onium salt compound with a halide salt to form a distinct salt of the onium compound. The present onium compounds are useful as an acid generator component of a photoresist composition.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 20, 2014
    Inventor: Paul J. LaBEAUME
  • Publication number: 20140080062
    Abstract: The present invention relates to new photoresist compositions that comprise (a) a polymer comprising an acid generator bonded thereto; and (b) an acid generator compound that is not bonded to the polymer and that comprises one or more acid-labile groups.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 20, 2014
    Inventors: James W. THACKERAY, Jin Wuk SUNG, Paul J. LaBEAUME, Vipul JAIN
  • Publication number: 20140080061
    Abstract: Compositions such as photoresists and microfabrication processes are provided that can produce high-fidelity microfabricated structures. The provided photoresists can have reduced swelling during the development phase and can give tight tolerances for products, such as microneedles, that can be used, for example, in the medical field. The provided compositions include a photoresist, a photoinitiator system dispersed in the photoresist, and a polymer-tethered nanoparticle dispersed in the photoresist. The photoresist can be a negative photoresist and the photoinitiator system can include a two-photoinitiator system. The polymer-tethered nanoparticle can include an acrylic polymer and, in some embodiments, can include poly(methyl methacrylate). The nanoparticles can include silica.
    Type: Application
    Filed: May 23, 2012
    Publication date: March 20, 2014
    Applicant: 3M Innovative Properties Company
    Inventors: David H. Redinger, Robert J. DeVoe, Belma Erdogan-Haug
  • Publication number: 20140080064
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer comprising recurring units derived from a styrene, indene, benzofuran or benzothiophene monomer having 1,1,1,3,3,3-hexafluoro-2-propanol, and recurring units derived from a styrene, vinylnaphthalene, indene, benzofuran, benzothiophene, stilbene, styrylnaphthalene or dinaphthylethylene monomer and an ether solvent.
    Type: Application
    Filed: September 10, 2013
    Publication date: March 20, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Hatakeyama
  • Publication number: 20140080055
    Abstract: A chemically amplified resist composition comprising a base polymer and an amine quencher in the form of a ?-alanine, ?-aminobutyric acid, 5-aminovaleric acid, 6-aminocaproic acid, 7-aminoheptanoic acid. 8-aminooctanoic acid or 9-aminononanoic acid derivative having an unsubstituted carboxyl group has a high contrast of alkaline dissolution in rate before and after exposure and forms a pattern of good profile at a high resolution, minimal roughness and wide DOF.
    Type: Application
    Filed: September 10, 2013
    Publication date: March 20, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Masayoshi Sagehashi